可编程逻辑设计(EDA)

上传人:go****ng 文档编号:138015535 上传时间:2022-08-19 格式:DOC 页数:22 大小:310.51KB
收藏 版权申诉 举报 下载
可编程逻辑设计(EDA)_第1页
第1页 / 共22页
可编程逻辑设计(EDA)_第2页
第2页 / 共22页
可编程逻辑设计(EDA)_第3页
第3页 / 共22页
资源描述:

《可编程逻辑设计(EDA)》由会员分享,可在线阅读,更多相关《可编程逻辑设计(EDA)(22页珍藏版)》请在装配图网上搜索。

1、 可编程逻辑器件 目 录实验一 基于QUARTUSII 1位全加器原理图设计3实验二 多路选择器设计7实验三 基本触发器的设计10实验四 八位七段数码管 动态显示电路的设计13实验五 数控分频器的设计16实验六 基于VHDL的表决器的设计18 实验七 设计含异步清0和同步时钟使能的十进制加法计数器实验一 基于QUARTUSII的全加器的设计一、 实验目的1、 通过一位的全加器的设计,掌握组合逻辑电路的设计方法。2、 初步了解QUARTUSII原理图输入设计的全过程。3、 掌握组合逻辑电路的静态测试方法。二、 实验原理全加器除考虑两个加数外,还考虑了低位的进位。输入端有3个,分别为加数、被加数与

2、低位进位;输入端有2个,分别为和与进位。其真值表如表1-1所示AiBiCiSiC 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1表1-1 1位全加器的真值表三、 实验内容 在本实验中,用三个按键开关来表示1位全加器的三个输入(Ai、Bi、Ci);用二个LED来表示1位全加器的二个输出(Si,C)。通过输入不同的值来观察输入的结果与1位全加器的真值表(表1-1)是否一致。 该实验箱属于多种复用实验箱,可通过模式选择进行控制,不同的模式,如果同个按键,可能功能不一样,所以每次实验必须先预

3、设模式。“模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。本次实验的模式选择到“6”(红色数码管上显示)。信号名称实验箱上对应的元器件对应FPGA管脚名Ai键311Bi键432Ci键533表1-2 按键开关与FPGA管脚连接表LED灯与FPGA的接口电路如图1-1所示,当FPGA与其对应的端口为高电平时LED就会发光,反之LED灯灭。其与FPGA对应的管脚连接如表1-3所示。 图1-1 LED灯与FPGA接口电路信号名称实验箱上对应的元器件对应FPGA管脚名SiLED D139CLED D240表1-3 LED灯与FPGA管脚连接表四、实验步骤1、打开QUARTUSII软件,新建

4、一个工程。2、在创建好设计工程后,选择FileNEW菜单,出现图1-9所示的新建设计文件类型选择窗口。这里我们以建立图形设计文件为例进行说明,其它设计输入方法与之基本相同 图2-2 新建设计文件选择窗口2)在New对话框(图1-2)中选择Device Design Files页下的Block Diagram/Schematic File,点击OK按钮,打开图形编辑器对话框,如图2-3所示。图中标明了常用的每个按钮的功能。图1-2 原理图编辑窗口1、 按照实验原理和自己的想法,在原理图编辑窗口绘制原理图。2、 编写完原理图后,保存起来。3、 对自己编写的原理图进行编译并仿真,对程序的错误进行修改

5、。4、 编译仿真无误后,依照按键开关、LED与FPGA的管脚连接表(表1-1、表1-2)或参照附录进行管脚分配,表2-1是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。5、 用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致。五、实验现象与结果当设计文件加载到目标器件后,按按键开关,LED会按照实验原理中的真值表输入一一对应的亮或者灭。六、实验报告1、 绘出仿真波形,并作说明。2、进一步熟悉QUARTUSII软件。3、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。实验二 多路选择器的设计一、 实验目的1

6、、进一步熟悉QUARTUSII软件的使用方法和VHDL输入的全过程。2、进一步掌握实验系统的使用。二、 实验原理四选一多路选择器的原理如下图及下表,由Sl, S0来选择d0 ,dl ,d2 ,d3的信号,并使其能在Q上输出。S1S0Q00d 001d 110d 211d 3三、 实验内容1、用VHDL语言的不同语句分别描述任务选择器,并通过编译仿真比较不同语句描述的区别。2、通过仿真下载并通过硬件验证实验结果。四、 实验步骤1、 打开QUARTUSII软件,新建一个工程。2、建完工程之后,再新建一个VHDL File。新建一个VHDL文件的过程如下:1)选择QUARTUSII软件中的FileN

7、ew命令,出现New对话框。如图2-2所示。 图2-1 新建设计文件选择窗口2)在New对话框(图2-1)中选择Device Design Files页下的VHDL File,点击OK按钮,打开VHDL编辑器对话框,如图2-2所示。图2-2 VHDL编辑窗口1、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光盘中提供的示例程序。2、编写完VHDL程序后,保存起来。方法同实验一。3、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。4、编译仿真无误后,进行管脚分配,下表是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。端口名使用模块信号

8、对应FPGA管脚说 明D1键311四选一选择器 输入与输出D2键432D3键533D4键634S0键735S1键836YLED D139表2-1 端口管脚分配表5、“模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。本次实验的模式选择到“6”(红色数码管上显示)。6、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致。五、 实验现象与结果当设计文件加载到目标器件后,按键按键开关,LED会按照实验原理中的格雷码输入一一对应的亮或者灭。六、 实验报告1、 绘出仿真波形,并作说明。2、 进一步熟悉QUARTUSII软件。3、 将实验原理、设计

9、过程、编译仿真波形和分析结果、硬件测试结果记录下来。实验三 基本触发器的设计一、 实验目的1、 了解基本触发器的工作原理。2、 进一步熟悉在Quartus II中基于VHDL设计的流程。二、 实验原理基本触发器的电路如下图3-1所示。它可以由两个与非门交叉耦合组成,也可图3-1 基本触发器电路以由两个或非门交叉耦合组成。现在以两个与非门组成的基本触发器为例,来分析其工作原理。根据与非逻辑关系,可以得到基本触发器的状态转移真值表及简化的真值表,如下表3-1所示:状态转移真值表简化真值表01000100110101100111Qn101100不定11001111000不定001不定表3-1 基本触

10、发器状态转移真值表根据真值表,不难写出其特征方程:其中式(2)为约束条件。三、 实验内容本实验的任务就是利用Quartus II软件的文本输入,产生一个基本触发器,触发器的形式可以是与非门结构的,也是可以或非门结构的。实验中用按键模块的用键7和键8来分别表示R和S,用LED模块的LED D1和LED D21分别表示Q和。在R和S满足式(2)的情况下,观察Q和的变化。四、 实验步骤1. 打开QUARTUSII软件,新建一个工程。2. 建完工程后再新建一个文本输入文件。3. 按照实验原理和自己的想法,输入VHDL语言,进行设计。4. 设计好设计电路程序后,保存起来。5. 对自己编写的设计程序进行编

11、译并仿真,对程序的错误进行修改。6. 编译仿真无误后,依照按键开关、LED与FPGA的管脚连接表或参照附录进行管脚分配。表3-2是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。端口名使用模块信号对应FPGA管脚说 明NR键7107NS键8108QLED灯D173NQLED灯D274表3-2 端口管脚分配表 7 “模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。本次实验的模式选择到“1”(红色数码管上显示)。8. 用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致。五、 实验现象与结果当设计文件加载到目标器件后,

12、按下相应的按键(即R、S),则通过LED灯上的亮和灭来显示这个触发器的输入结果。将输入与输出和表3-1基本触发器状态转移真值表进行比较,看是否一致。六、 实验报告1、 绘出不同R、S值的仿真波形,并作说明。2、 试设计一个其它的功能触发器如D触发器、JK触发器等3、 将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。实验四 八位七段数码管动态显示电路的设计一、 实验目的1、 了解数码管的工作原理。2、 学习七段数码管显示译码器的设计。3、 学习计数器的编程方法。4、 学习VHDL的CASE语句及多层次设计方法。二、 实验原理图3-1所示的是8位数码扫描显示电路,其中每个数码管

13、的8个段:h、g、f、e、d、c、b、a(h是小数点)都分别连在一起,8个数码管分别由8个选通信号k1、k2、k8来选择。被选通的数码管显示数据,其余关闭。如在某一时刻,k3为高电平,其余选通信号为低电平,这时仅k3对应的数码管显示来自段信号端的数据,而其它7个数码管呈现关闭状态。根据这种电路状况,如果希望在8个数码管显示希望的数据,就必须使得8个选通信号k1、k2、k8分别被单独选通,并在此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。图4-1 8位数码扫描显示电路三、 实验内容本实验要求完成一个二十进制的计数器,并且通过数码管进行动

14、态显示。在实验中时,选择系统时钟作为输入时钟(clk),用两个按键输入,当键3高电平,进行复位,当键3低电平,键4高电平时,进行时能计数,所计的数在数码管上进行显示。图4-2 数字时钟信号模块电路原理端口名使用模块信号对应FPGA管脚名说明ClkClkock093系统时钟RST键311时钟复位EN键432使能端7SEG-A7SEG-A108七段码管A段输入信号7SEG-B7SEG-B107七段码管B段输入信号7SEG-C7SEG-C106七段码管C段输入信号7SEG-D7SEG-D105七段码管D段输入信号7SEG-E7SEG-E103七段码管E段输入信号7SEG-F7SEG-F99七段码管F

15、段输入信号7SEG-G7SEG-G98七段码管G段输入信号Bt0Bt096第一个数码管位选信号Bt1Bt185第二个数码管位选信号Bt2Bt284第三个数码管位选信号Bt3Bt383第四个数码管位选信号Bt4Bt478第五个数码管位选信号Bt5Bt577第六个数码管位选信号Bt6Bt676第七个数码管位选信号Bt7Bt775第八个数码管位选信号表4-1 数码管与FPGA的管脚连接表四、 实验步骤1、 打开QUARTUSII软件,新建一个工程。2、 建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。3、 按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照

16、光盘中提供的示例程序。4、 编写完VHDL程序后,保存起来。方法同实验一。5、 对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。6、 编译仿真无误后,进行管脚分配。表4-1是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。 7、“模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。本次实验的模式选择到“6”(红色数码管上显示)。8、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致。五、 实验现象与结果当设计文件加载到目标器件后,将数字信号源模块的时钟选择为24MHZ,通过按键控制,进行计数,则数码管显

17、示所计数的值。六、 实验报告1、 绘出仿真波形,并作说明。2、 明扫描时钟是如何工作的,改变扫描时钟会有什么变化。3、 实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。实验五 数控分频器的设计一、 实验目的1、 学习数控分频器的设计、分析和测试方法。2、 了解和掌握分频电路实现的方法。3、 掌握EDA技术的层次化设计方法。二、 实验原理数控分频器的功能就是当输入端给定不同的输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器来设计完成的,方法是将计数溢出位与预置数加载输入信号相接得到。三、 实验内容本实验要求完成的任务是在时钟信号的作用下

18、,通过输入八位的按键开关输入不同的数据,改变分频比,使输出端口输出不同频率的时钟信号,过到数控分频的效果。在实验中时,数字时钟选择10KHZ作为输入的时钟信号(频率过高观察不到LED的闪烁快慢),用八个按键开关做为数据的输入,当八个按键开关置为一个二进制数时,在输出端口输出对应频率的时钟信号,用户可以用示波器接信号输出模块观察频率的变化。也可以使输出端口接LED灯来观察频率的变化。在此实验中我们把输出接入LED灯模块。四、 实验步骤1、 打开QUARTUSII软件,新建一个工程。2、 建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。3、 按照实验原理和自己的想法,在VHD

19、L编辑窗口编写VHDL程序,用户可参照光盘中提供的示例程序。4、 编写完VHDL程序后,保存起来。方法同实验一。5、 对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。6、 编译仿真无误后,依照按键开关、LED与FPGA的管脚连接表(表1-1、表1-2)或参照附录进行管脚分配。表5-1是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。端口名使用模块信号对应FPGA管脚说 明INCLKCLOCK217时钟为10KHZDATA0键11分频比数据DATA 1键22DATA 2键33DATA 3键44DATA4键55DATA 5键66DATA 6键77DATA 7键8

20、10FOUTLED灯 D111 分频输出FOUT1PIO48107波形输出观测表5-1 端口管脚分配表7、 “模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。本次实验的模式选择到“5”(红色数码管上显示)。8、 用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致。五、 实验现象与结果当设计文件加载到目标器件后,将数字信号源模块的时钟选择为10KHZ,按八位按键开关,使其为一个数值,则输入的时钟信号使LED灯开始闪烁,改变按键开关,LED的闪烁快慢会按一定的规则发生改变。用示波器观测FPGA输入输出模块可以看到波形会随八位按键开关的改变

21、而变化。六、 实验报告1、 输入不同的DATA值绘出仿真波形,并作说明。2、 在这个程序的基础上扩展成16位的分频器,写出VHDL代码。3、 将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。实验六 基于VHDL的表决器的设计一、 实验目的1、 熟悉VHDL的编程。2、 熟悉七人表决器的工作原理。3、 进一步了解实验系统的硬件结构。二、 实验原理所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4时,则认为同意;反之,当否决的票数大于或者等于4

22、时,则认为不同意。实验中用7个按键开关来表示七个人,当对应的按键开关输入为1时,表示此人同意;否则若按键开关输入为0,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。同时,数码管上显示通过的票数。三、 实验内容本实验就是利用实验系统中的按键开关模块和LED模块以及数码管模块来实现一个简单的七人表决器的功能。按键开关模块中的键1键7表示七个人,当按键开关输入为1时,表示对应的人投同意票,否则当按键开关输入为0时,表示对应的人投反对票;LED模块中D1表示七人表决的结果,当LED1点亮时,表示此行为通过表决;否则当LE

23、D1熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。四、 实验步骤1、 打开QUARTUSII软件,新建一个工程。2、 建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。3、 按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序。编写完VHDL程序后,保存起来。对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。4、 编译仿真无误后,依照按键开关、LED、数码管与FPGA的管脚连接表或参照附录进行管脚分配。表6-1是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。端口名使用模块信号对应FPGA管脚说 明K1键11七位投

24、票人的表决器K2键22K3键33K4键44K5键55K6键66K7键77m_ResultLED模块 D111表决结果亮为通过LEDAG0数码管模块139表决通过的票数LEDAG1数码管模块140LEDAG2数码管模块141LEDAG3数码管模块142表6-1 端口管脚分配表5、 “模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。本次实验的模式选择到“5”(红色数码管上显示)。6、 用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致五、 实验结果与现象当设计文件加载到目标器件后,按实验系统中按键开关模块的键1-键7七位按键开关,如果按键

25、开关的值为“1”(即按键开关的开关置于上端,表示此人通过表决)的个数大于或等于四时LED模块的D1被点亮,否则D1不被点亮。同时数码管上显示通过表决的人数。六、 实验报告1、绘出仿真波形,并作说明。2、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。3、试在此实验的基础上增加一个表决的时间,只的在这一时间内的表决结果有效。 实验七 设计含异步清0和同步时钟使能的加法计数器一 实验目的1学习计数器的设计、仿真和硬件测试,进一步熟悉VHDL设计技术.。二 实验原理 当电路接成模式5时,输入数码管的数据内部已经经过译码,不需要编写程序译码。例如,数码管1只要输入“0000”即可在

26、数码管上显示“0”这个数据。三 实验内容本实验要求完成一个十进制的计数器,并且通过数码管1进行显示。在实验中时,选择系统时钟作为输入时钟(clk),用两个按键输入,当键1高电平,控制EN允许计数,当键2高电平,控制RST复位。LED管D1接进位输出。观察数码1和发光管D1了解计数器工作情况。四 实验步骤1打开QUARTUSII软件,新建一个工程。2建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。3按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序。编写完VHDL程序后,保存起来。对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。4编译仿真无误后,依照

27、按键开关、LED、数码管与FPGA的管脚连接表或参照附录进行管脚分配。下表是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。端口名使用模块信号对应FPGA管脚说 明EN键11计数器的复位和清零端RST键22CLKCLOCK093时钟端(4HZ)COUTLED模块 D111进位输出LEDAG0数码管模块139计数输出LEDAG1数码管模块140LEDAG2数码管模块141LEDAG3数码管模块1427、 “模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。本次实验的模式选择到“5”(红色数码管上显示)。8、 用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致五 实验结果与现象当设计文件加载到目标器件后,按实验系统中按键开关模块的键1为高电平,键2为低电平时,开始计数,数码管上显示0-9,到9时,D1亮。六 实验报告1、绘出仿真波形,并作说明。2、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!