可控脉冲发生器的设计

上传人:suij****uang 文档编号:137120172 上传时间:2022-08-18 格式:DOCX 页数:4 大小:12.09KB
收藏 版权申诉 举报 下载
可控脉冲发生器的设计_第1页
第1页 / 共4页
可控脉冲发生器的设计_第2页
第2页 / 共4页
可控脉冲发生器的设计_第3页
第3页 / 共4页
资源描述:

《可控脉冲发生器的设计》由会员分享,可在线阅读,更多相关《可控脉冲发生器的设计(4页珍藏版)》请在装配图网上搜索。

1、可控脉冲发生器的设计一、实验目的1、了解可控脉冲发生器的实现机理。2、学会用示波器观察FPGA产生的信号。3、学习用VHDL编写复杂功能的代码。二、实验原理脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是要产生一个周期和占 空比可变的脉冲波形。可控脉冲发生器的实现原理比较简单,可以简单的理解为一个计数 器对输入的时钟信号进行分频的过程。通过改变计数器的上限值来达到改变周期的目的, 通过改变电平翻转的阈值来达到改变占空比的目的。下面举个简单的例子来说明其工作原 理。假如有一个计数器T对时钟分频,其计数的范围是从0M另取一个M (0WMWN),若输出为Q,那么Q只要满足条件10 T MQ =

2、 0 M T N时,通过改变N值,即可改变输出的脉冲波的周期;改变M值,即可改变脉冲波的占空比。这样输出的脉冲波的周期和占空比分别为:周期=(N + MCLOCKM 占空比=x 100 %N + 1三、实验内容编写实现可控脉冲发生器程序,通过脉冲周期和占空比改变实现不同脉冲的输出。用Quartus软件对设计进行编译、综合、仿真,给出相应的时序仿真波形和硬件电路图。四、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ex

3、p10 isport( Clk : in std_logic;-时钟输入Rst : in std_logic;-复位输入NU,ND : in std_logic;-输入:控制频率的改变MU,MD : in std_logic;-输入:控制占空比的改变Fout : out std_logic-波形输出);end exp10;architecture behave of exp10 issignal N_Buffer,M_Buffer : std_logic_vector(10 downto 0);signal N_Count :std_logic_vector(10 downto 0);sign

4、al clkin : std_logic;signal Clk_Count : std_logic_vector(12 downto 0);-产生一个低速时钟,用于按键判断beginprocess(Clk)-计数器累加beginif(Clkevent and Clk=1) thenif(N_Count=N_Buffer) thenN_Count=00000000000”;elseN_Count=N_Count+1;end if;end if;end process;process(Clk)-波形判断beginif(Clkevent and Clk=1) thenif(N_CountM_Buff

5、er) thenFoutM_Buffer and N_CountN_Buffer) thenFout=0;end if;end if;end process;process(Clk)beginif(Clkevent and Clk=1) thenClk_Count=Clk_Count+1;end if;clkin=Clk_Count(12);end process;process(clkin)-频率及占空比的改变1beginif(clkinevent and clkin=0) thenif(Rst=0) thenM_Buffer=01000000000”;N_Buffer=1000000000

6、0”;elsif(NU=0) thenN_Buffer=N_Buffer+1;elsif(ND=0) thenN_Buffer=N_Buffer-1;elsif(MU=0) thenM_Buffer=M_Buffer+1;elsif(MD=0) thenM_Buffer=M_Buffer-1;end if;end if;end process;end behave;五、时序仿真图123祁6ClkRstMDMUNilimF outH 1H 0H 1H 0H 1H 1H 0_a_o_nn_a_o_j_lj_l_tlu_n_n_i_ii1_q_j_u_i i_i_i i_u_i_ri i_i iis_ii_i_i_i_i d_jn m mm_m_m_jljljL_n_i_i_i_i_jl c i il : i i L1 i_R R nn

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!