Basys.2数字电路实验指导书

上传人:仙*** 文档编号:132119242 上传时间:2022-08-08 格式:DOC 页数:23 大小:840KB
收藏 版权申诉 举报 下载
Basys.2数字电路实验指导书_第1页
第1页 / 共23页
Basys.2数字电路实验指导书_第2页
第2页 / 共23页
Basys.2数字电路实验指导书_第3页
第3页 / 共23页
资源描述:

《Basys.2数字电路实验指导书》由会员分享,可在线阅读,更多相关《Basys.2数字电路实验指导书(23页珍藏版)》请在装配图网上搜索。

1、 .wd.Basys 2数字电路实验指导书同学们先去网上下载ISE软件,破解并安装!前 言一、实验课目的EDA实验课是电子工程类专业教学中重要的实践环节,包括了ISE开发环境 根本操作及Verilog语言、组合逻辑电路设计、流水灯设计、计数器设计、扫描显示电路的驱动、综合层次性实验交通灯或数字秒表设计实验。要求学生通过实验学会正确使用EDA技术,掌握FPGA器件的开发,熟练使用ISE开发环境,掌握Verilog语言的编程,掌握数字电路和系统的设计。通过实验,使学生加深对课堂专业教学内容的理解,培养学生理论联系实际的能力,实事求是,严谨的科学作风,使学生通过实验结果,利用所学的理论去分析研究ED

2、A技术。培养学生使用EDA实验设备的能力以及运用实验方法解决实际问题的能力。二、实验要求:1.课前预习认真阅读实验指导书,了解实验内容;认真阅读有关实验的理论知识;读懂程序代码。2.实验过程 按时到达实验室; 认真听取教师对实验内容及实验要求的讲解; 认真进展实验的每一步,观察程序代码与仿真结果是否相符; 将实验过程中程序代码和仿真结果提交给教师审查; 做完实验后,整理实验设备,关闭实验开发板电源、电脑电源前方可离开。3.实验报告 按要求认真填写实验报告书;认真分析实验结果;按时将实验报告交给教师批阅。三、实验学生守那么1保持室内整洁,不准随地吐痰、不准乱丢杂物、不准大声喧哗、不准吸烟、不准吃

3、东西;2.保护公务,不得在实验桌及墙壁上书写刻画,不得擅自删除电脑里面的文件;3.安全用电,严禁触及任何带电体的裸露局部,严禁带电接线和拆线;4.任何规章或不按教师要求操作造成仪器设备损坏须论价赔偿。目 录实验一ISE开发环境入门_五人表决器4实验二加法器、乘法器、对比器的设计26实验三 流水灯28实验四计数器30实验五 综合层次性实验交通灯设计32实验六综合层次性实验数字秒表设计34附录一basys 2开发板资料36实验一ISE开发环境入门一、实验目的1.了解ISE开发环境及 根本操作。2.熟悉设计方法和步骤。3.掌握电路的综合和实现。4.掌握电路仿真与时序分析。5.熟悉3/8线译码器工作原

4、理和五人表决器设计。二、实验内容和 根本原理1.以3/8线译码器为例,总体思路以Basys 2开发板中的三个拨位开关,SW2,SW1,SW0为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在八个发光二级管LD7LD0上显示。2.输入与输出之间逻辑关系3.以Basys 2开发板中的五个拨位开关,SW4,SW3,SW2,SW1,SW0为五个输入信号,可以代表五个表决的人,当五个人中有三个以上同意时,那么表决通过,并将表决的结果在LD0上显示出来。4.其真值表:输入输出ABCDEF0011110101110110110111011001111010111011011

5、10011110101111001011111101111110111111011111101111111others05.输入与输出之间逻辑关系f=abc+abd+abe+acd+ace+ade+bcd+bce+bde+cde;三、主要仪器和设备主要仪器和设备:计算机,Basys 2开发板。图1 Basys 2开发板四、ISE开发环境1、 建设工程。选择菜单“File“New Preject。点击New Project进入新建工程向导对话框输入工程名字:experiment1工程所在目录点击“Next按纽顶层源文件类型点击“Next后,进入工程设置对话框。产品范围(product categ

6、ory)芯片的系列(Family)具体的芯片型号(Device)封装类型Package速度信息speed综合工具Synthesis Tool)仿真工具Simulator喜欢的语言VHDL/Verilog点击“Next按钮对如下选项进展设置:Family:Spartan3EDevice:XC3S100EPackage:CP132TOP-Level Source:HDLSynthesis Tool:XSTVHDL/VerilogSimulator:ISimVHDL/VerilogPreferred Language:Verilog点击“Next后,进入工程摘要对话框。点击“Finish按钮点击“F

7、inish后,完成工程的创立。生成了空的工程框架1工程名器件名字12、建设Verilog源文件。选中器件名字,点击鼠标右键,弹出一个快捷菜单。选中器件名字,点击鼠标右键选中New Source选中“New Source,进入新建源文件向导对话框,输入文件名。0IP生成向导000000000原理图文件用户文档文件Verilog模块模板文件Verilog测试平台模板文件VHDL模块模板文件VHDL库模板文件VHDL包模板文件VHDL测试平台模板文件片上系统设计向导0选择VHDL Module0输入experiment作为VHDL模块的名字点击“Next按钮点击“Next后,进入定义模块对话框,进展

8、端口指定。0指定端口名0指定端口方向0指定位宽点击“Next点击“Next点击“Next后,进入概要对话框。点击“Finish点击“Finish后,回到ISE主界面。生成的experiment1.v文件添加代码到experiment1.v文件中输入源程序代码:module experiment1( output 7:0 ld, input 2:0 sw );assign ld0=sw2&sw1&sw0;assign ld1=sw2&sw1& sw0;assign ld2=sw2& sw1&sw0;assign ld3=sw2& sw1& sw0;assign ld4= sw2&sw1&sw0;

9、assign ld5= sw2&sw1& sw0;assign ld6= sw2& sw1&sw0;assign ld7= sw2& sw1& sw0;endmodule3、综合。选中experiment1.v文件双击进展综合4、仿真。先选中Simulation,然后选中器件名字,点击鼠标右键,弹出一个快捷菜单。点击“New Source后,进入选择源文件类型对话框。选择关联文件概要进入仿真测试文件编辑窗口 输入测试程序代码module test;reg 2:0 sw;wire 7:0 ld;experiment1 uut (.ld(ld), .sw(sw);initial begin sw

10、= 8b000;#100 sw = 3b001;#100 sw = 8b010;#100 sw = 8b011;#100 sw = 8b100;#100 sw = 8b101;#100 sw = 8b110;#100 sw = 8b111;end endmodule 右键单击“Simulate Behavioral Model弹出快捷菜单,点击“run命令。 进入ISim仿真器界面,选中Simulation菜单中的“Run命令。显示仿真波形时序图。5、编写约束文件。选中器件名字,点击鼠标右键,弹出一个快捷菜单。选中器件名字,点击鼠标右键选中New Source选中“New Source,进入新

11、建源文件向导对话框,输入约束文件名。点击“Next按钮输入约束文件名选中实现约束文件点击“Next后,进入概要对话框。点击“Finish按钮点击“Finish后,回到ISE主界面,编辑约束文件。输入约束文件代码NET sw LOC=K3;NET sw LOC=L3;NET sw LOC=P11;NET ld LOC=G1;NET ld LOC=P4;NET ld LOC=N4;NET ld LOC=N5;NET ld LOC=P6;NET ld LOC=P7;NET ld LOC=M11;NET ld LOC=M5;6、实现双击进展实现选中experiment1.v文件7、生成编程文件双击生成

12、编程文件选中experiment1.v文件8、下载将Basys 2开发板右上角的Mode左边两个引脚PC用跳帽短接JTAG模式。将Basys 2开发板左下角的Power开关翻开。翻开Digilent Adept下载软件,浏览选中编程文件,并进展下载。编程下载浏览选中下载文件9、功能测试五、实验步骤1、新建一个工程,命名为vote5.xise。2、新建一个Verilog源文件,命名为vote5.v,编写源文件。3、综合4、新建一个测试文件,命名为test.v,编写测试文件进展波形仿真。5、新建一个约束文件,命名为vote.ucf。6、实现7、生成编程文件8、下载9、功能测试六、实验报告要求1.写

13、出设计思想及框图2.简述设计步骤和调试过程。包括总体电路设计、相应模块设计,以及在ISE上完成的设计和仿真。相关文档包括:Verilog HDL语言的源代码程序要有详细的注释和功能说明、引脚分配的约束文件、验证设计功能等设计的所有文档与调试的结果。3.写出结论及心得体会。4.按照实验报告模板,撰写实验报告。实验报告各项内容都要填好,不能空缺。源代码和图可以打印,其它内容手写。说明:1、模仿3/8译码器的操作,写出以下五人表决器的VHDL程序并对其进展仿真和下载测试。2、按照实验报告模板完成实验报告具体包括:实验目的实验内容及 根本原理主要实验设备型号及技术指标计算机,Basys 2开发板,IS

14、E开发环境。实验方案与测试记录实验方案包括进展实验的硬件电路、Verilog程序、操作步骤,测试记录包括实验过程中测得的主要数据和现象实验结果分析(分析实验过程中获得的数据、仿真波形、现象或问题的正确性和必然性,分析产生不正确结果的原因和处理方法)。实验二加法器、乘法器、对比器的设计一、实验目的1. 进一步熟悉ISE开发环境及 根本操作。2. 掌握两个四位二进制加法器模块的设计方法。3.掌握两个四位二进制乘法器模块的设计方法。4.掌握两个四位二进制对比器模块的设计方法。二、实验内容及 根本原理以Basys 2开发板上的八个拨码开关(SW7,SW6,SW5,SW4,SW3,SW2,SW1,SW0

15、)为八个输入信号,其中(SW7,SW6,SW5,SW4)表示一个四位二进制数a,SW3,SW2,SW1,SW0)表示另一个四位二进制数b,结果在LD7LD0上显示出来。要求实现如下功能:(1)两个四位二进制加法运算(2)两个四位二进制乘法运算(3)两个四位二进制对比运算三、实验步骤1.新建一个工程,命名为adder.xise。2.新建一个Verilog源文件,命名为adder.v,编写源文件,实现加法器功能。3.综合4.新建一个测试文件,命名为test.v,编写测试文件进展波形仿真。5.新建一个约束文件,命名为adder.ucf。6.实现7.生成编程文件8.下载9.功能测试说明:乘法器和对比器

16、的设计步骤参考加法器的步骤。四、主要仪器和设备主要仪器和设备:计算机,Basys 2开发板。五、实验报告要求1.写出设计思想及框图2.简述设计步骤和调试过程。包括总体电路设计、相应模块设计,以及在ISE上完成的设计和仿真。相关文档包括:Verilog HDL语言的源代码程序要有详细的注释和功能说明、引脚分配的约束文件、验证设计功能等设计的所有文档与调试的结果。3.写出结论及心得体会。4.按照实验报告模板,撰写实验报告。实验报告各项内容都要填好,不能空缺。源代码和图可以打印,其它内容手写。实验三流水灯一、实验目的1. 进一步熟悉ISE开发环境及 根本操作。2. 掌握分频电路的设计方法。3. 掌握

17、流水灯的设计方法。二、实验内容及 根本原理以Basys 2开发板上的晶振产生的50M的脉冲作为时钟信号,先进展分频,然后驱动八个led显示按照设置的模式显示。五个拨码开关,SW4,SW3,SW2,SW1,SW0为五个输入信号,可以控制五种闪烁模式,结果在LD7LD0上显示出来。要求实现如下功能:(1)从右往左依次点亮8个led。(2)从左往右依次点亮8个led。(3)从中间往两边依次点亮8个led。(4)从两边往中间依次点亮8个led。(5)8个led以1Hz的频率闪烁。三、实验步骤1.新建一个工程,命名为flashled.xise。2.新建一个Verilog源文件,命名为flashled.v

18、,编写源文件,实现交通灯功能。3.综合4.新建一个测试文件,命名为test.v,编写测试文件进展波形仿真。5.新建一个约束文件,命名为flashled.ucf。6.实现7.生成编程文件8.下载9.功能测试四、主要仪器和设备主要仪器和设备:计算机,Basys 2开发板。五、实验报告要求1.写出设计思想及框图2.简述设计步骤和调试过程。包括总体电路设计、相应模块设计,以及在ISE上完成的设计和仿真。相关文档包括:Verilog HDL语言的源代码程序要有详细的注释和功能说明、引脚分配的约束文件、验证设计功能等设计的所有文档与调试的结果。3.写出结论及心得体会。4.按照实验报告模板,撰写实验报告。实

19、验报告各项内容都要填好,不能空缺。源代码和图可以打印,其它内容手写。实验四计数器一、实验目的1. 进一步熟悉ISE开发环境及 根本操作。2.计数器的设计方法。3.掌握七段数码管显示模块的设计方法。二、实验原理数码的显示方式一般有三种:第一种是字型重叠式;第二种是分段式;第三种是点阵式。目前以分段式应用最为普遍,主要器件是七段发光二极管LED显示器。它可分为两种,一是共阳极显示器发光二极管的阳极都接在一个公共点上,另一是共阴极显示器发光二极管的阳极都接在一个公共点上,使用时公共点接地。Basys 2开发板使用的数码管为四位共阳极数码管,每一位的共阳极7段数码管由7段发光LED组成,呈条字状,7个

20、发光LED的阳极连接在一起,阳极分别连接至 FPGA相应引脚。an0、an1、an2和an3为四位7段数码管的位选择端。当其值为“0”时,相应的7段数码管被选通。当输入到7段数码管CACG和DP管脚的数据为低电平时,该管脚对应的段变亮,当输入到7段数码管CACG和DP管脚的数据为高电平时,该管脚对应的段变灭。要求按一次按键数码管上的数字加1。三、实验步骤1.新建一个工程,命名为counter.xise。2.新建一个Verilog源文件,命名为counter.v,编写源文件,实现计数功能。3.综合4.新建一个测试文件,命名为test.v,编写测试文件进展波形仿真。5.新建一个约束文件,命名为co

21、unter.ucf。6.实现7.生成编程文件8.下载9.功能测试四、主要仪器和设备主要仪器和设备:计算机,Basys 2开发板。五、实验报告要求1.写出设计思想及框图2.简述设计步骤和调试过程。包括总体电路设计、相应模块设计,以及在ISE上完成的设计和仿真。相关文档包括:Verilog HDL语言的源代码程序要有详细的注释和功能说明、引脚分配的约束文件、验证设计功能等设计的所有文档与调试的结果。3.写出结论及心得体会。4.按照实验报告模板,撰写实验报告。实验报告各项内容都要填好,不能空缺。源代码和图可以打印,其它内容手写。实验五 交通灯一、实验目的1.掌握分频器的设计方法。2.掌握计数器的设计

22、方法。3.掌握状态机的设计方法。二、实验内容及 根本原理1234显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。用两组红黄绿三种颜色灯分别作为东西、南北两个方向的红、黄绿灯。变化规律为东西绿灯亮,南北红灯亮东西绿灯闪烁,南北红灯亮东西黄灯亮,南北红灯亮东西红灯亮,南北绿灯亮东西红灯亮,南北绿灯闪烁东西红灯亮,南北黄灯亮东西绿灯亮,南北红灯亮,如此循环。红灯亮30秒,黄灯亮5秒,绿灯亮20秒后闪烁5秒,时间可设置修改,在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换车道,要求有复位功能。其状态转换如下表所示:状态装换表状态东西方向南北方向statered1yellow1green1red2

23、yellow2green200000110000100clock1s10001001010001110000110010000clock1s101100010交通灯信号控制系统一般由晶体振荡器、分频器、时间计数器、控制器以及译码显示电路组成,根据设计内容要求,其组成框图如以下图:系统时钟分频器时间计数控 制 器复位信号紧急请求译码器时间显示东西灯南北灯三、实验步骤1.新建一个工程,命名为trafficlights.xise。2.新建一个Verilog源文件,命名为trafficlights.v,编写源文件,实现交通灯功能。3.综合4.新建一个测试文件,命名为test.v,编写测试文件进展波形仿

24、真。5.新建一个约束文件,命名为trafficlights.ucf。6.实现7.生成编程文件8.下载9.功能测试四、主要仪器和设备主要仪器和设备:计算机,Basys 2开发板。五、实验报告要求1.写出设计思想及框图2.简述设计步骤和调试过程。包括总体电路设计、相应模块设计,以及在ISE上完成的设计和仿真。相关文档包括:Verilog HDL语言的源代码程序要有详细的注释和功能说明、引脚分配的约束文件、验证设计功能等设计的所有文档与调试的结果。3.写出结论及心得体会。4.按照实验报告模板,撰写实验报告。实验报告各项内容都要填好,不能空缺。源代码和图可以打印,其它内容手写。实验六 数字跑表设计一、

25、实验目的1.掌握Verilog的层次化设计法。2.掌握分频模块的设计方法。3.掌握计时模块的设计方法。4.掌握数码显示的设计方法。5.掌握模块的调用方法。二、实验内容及 根本原理跑表是体育比赛中常用的计时仪器,设计一个数字跑表,它通过一个按键来控制计时的开场和暂停,另一个按键控制跑表清零。按下清零按键时,跑表清零,当清零按键无效时,按一下开场/暂停键那么计时器开场计时,再按一下那么暂停计时,再按一下那么又继续计时。要求实现如下功能:(1)跑表的计时范围为0.01s59min59.99s,计时精度为10ms。(2)具有异步清零、启动、计时和暂停功能。(3)计时频率为100Hz。(4)数字跑表的分

26、和秒在数码管上显示出来,百分秒的BCD码在8个led上显示出来。交通灯信号控制系统一般由晶体振荡器、分频器、时间计数器、控制器以及译码显示电路组成,根据设计内容要求,其组成框图如以下图:系统时钟分频器时间计数控 制 器复位信号开场暂停译码器数码管显示LED显示三、实验步骤1.新建一个工程,命名为timer.xise。2.新建一个Verilog源文件,命名为div.v,编写源文件实现分频功能。3.新建一个Verilog源文件,命名为timer.v,编写源文件实现计时功能。4.新建一个Verilog源文件,命名为display.v,编写源文件实现数码显示功能。5.新建一个Verilog源文件,命名

27、为timer.v,编写源文件实现数码显示功能。6.综合7.新建一个测试文件,命名为test.v,编写测试文件进展波形仿真。8.新建一个约束文件,命名为timer.ucf。9.实现10.生成编程文件11.下载12.功能测试四、主要仪器和设备主要仪器和设备:计算机,Basys 2开发板。五、实验报告要求1.写出设计思想及框图2.简述设计步骤和调试过程。包括总体电路设计、相应模块设计,以及在ISE上完成的设计和仿真。相关文档包括:Verilog HDL语言的源代码程序要有详细的注释和功能说明、引脚分配的约束文件、验证设计功能等设计的所有文档与调试的结果。3.写出结论及心得体会。4.按照实验报告模板,撰写实验报告。实验报告各项内容都要填好,不能空缺。源代码和图可以打印,其它内容手写。附录一 basys 2开发板资料Basys2 电路连接图Basys2 引脚定义表Basys2 引脚定义表

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!