脉冲宽度测量仪

上传人:daj****de 文档编号:131060208 上传时间:2022-08-05 格式:DOCX 页数:7 大小:165.93KB
收藏 版权申诉 举报 下载
脉冲宽度测量仪_第1页
第1页 / 共7页
脉冲宽度测量仪_第2页
第2页 / 共7页
脉冲宽度测量仪_第3页
第3页 / 共7页
资源描述:

《脉冲宽度测量仪》由会员分享,可在线阅读,更多相关《脉冲宽度测量仪(7页珍藏版)》请在装配图网上搜索。

1、课 程年 月D日A技术课程设计题 目脉冲宽度测量仪院 系电子科学学院专业班级电子信息工程学生姓名学生学号指导教师一、课程设计任务书1二、总体设计思想2三、设计步骤和调试过程2四、设计电路图6五、实验调试结果6六、结论及心得体会 6七、参考资料 7课程设计任务书课程EDA技术课程设计题目脉冲宽度测量仪专业 电子信息工程_ 姓名 殷作鸿 _学号20080200006 主要任务:采用EDA技术,设计一个能测量脉冲信号宽度的系统。系统图如下:基本要求:(1) 脉冲信号宽度的测量精度为土1 ms。(2) 脉冲信号宽度的测量范围为010s。(3) 调试过程中可以用按键模拟脉冲信号。(4)测量值用5位数码管

2、显示(可以采用静态显示)。(5)输入信号为标准TTL电平。(6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。(7)必须先进行前仿真,并打印出仿真波形。(8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体 设计,各模块设计,调试与数据分析,总结)。-、总体设计思想1基本原理根据设计要求,系统的输入信号有:系统时钟信号CLK,系统复位信号CLR, 脉冲输入信号P_IN,计数输出端当检测到P_IN端有脉冲输入时检测模块就会 输出1 ,否则为0 ,输给计数模块的EN端,当各位累计到9时,会向十位进位,一 次类推到万位最后由数码管显示脉冲的宽度(数码管的读数).2设计框图系统

3、组成方框图如下所示,它由外部输入模块、检测模块和显示模块三部分 组成。检测模块是整个系统的核心,它由计数模块、控制模块、计量模块和译_OUT=1输送到计数器的EN端。(2)计数模块计数模块是对检测到的脉冲进行计数,计算出脉冲的宽度。(3)译码显示模块对输入的脉冲宽度进行显示出来。三、模块设计和相应模块程序检测程序library ieee;use ieee.s td_logic_1164.all;entity jiance ispor t(P_IN : in std_logic;EN_0UT: out std_logic);end jiance;architecture behave of ji

4、ance isbeginprocess (P_IN)beginif(P_IN=1) then EN_OUT=1;实现检测,若有脉冲就输出为1else EN_OUT=0;-,否则为 0end if;end process;end behave;计数程序library ieee;use ieee .std_logic_116 4. all;use ieee .std_logic_unsigne d. all;entity cout10 isport(EN:in std_logic;CLK:in std_logic;CLR:in std_logic;CQ:out std_logic;Qout:BUF

5、FER std_logic_vector(3 downto 0);end cout10;architecture art of cout10 isbeginU1:process(CLK,CLR,EN,QOUT)Beginif CLR=1 then Qout=0000;elsif CLKEVENTand CLK=1 thenif EN=1thenif Qout=1001 then Qout=0000;else Qout=Qout+1;end if;end if ;end if ;迁 Qout二1001 thenCQ=1; elseCQ=0;end if; end PROCESS U1; end

6、art;四、设计电路图五、实验调试结果为验证所设计程序是否正确,将程序下载进行硬件测试。在Quartusll开发环境中进行管脚锁定,连接好数码管驱动电路,然后将目标文件下载到器件中。经过多次数据测试,显示正常,基本实现本实验的要求。六、结论及心得体会这次的课程设计对于我来说是毕业前的一次演练,从选题到画出流程图,再到写出程序,不停的编不停的改不停的查资料直至最后完成,有问题大 家都坐在一起讨论,一起努力,一起攻克问题。我想我们享受的就是这个过程, 而不仅仅只是结果。通过这次的设计,我知道了,在任何问题面前,只要我们 努力,只要我们勇敢,我想,它们都不是问题,都不是困难。参考资料1 潘松著.EDA技术实用教程(第二版).北京:科学出版社,2005.2 康华光主编.电子技术基础 模拟部分.北京:高教出版社,2006.阎石主编.数字电子技术基础.北京:高教出版社,2002

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!