基于单片机的CAN总线通讯实现

上传人:仙*** 文档编号:129857949 上传时间:2022-08-03 格式:DOC 页数:41 大小:2.44MB
收藏 版权申诉 举报 下载
基于单片机的CAN总线通讯实现_第1页
第1页 / 共41页
基于单片机的CAN总线通讯实现_第2页
第2页 / 共41页
基于单片机的CAN总线通讯实现_第3页
第3页 / 共41页
资源描述:

《基于单片机的CAN总线通讯实现》由会员分享,可在线阅读,更多相关《基于单片机的CAN总线通讯实现(41页珍藏版)》请在装配图网上搜索。

1、CAN总线通讯基于51单片机应用第一章 前 言1.1 概述控制器局域网(CANController Area Network)属于现场总线(Fieldbus)的范畴,是众多的属于现场总线标准之一,它适用于工业控制系统,具有通信速率高、可靠性强、连接方便、性能价格比高等诸多特点。它是一种有效支持分布式控制或实时控制的串行通信网络,以其短报文帧及CSMA/CD-AMP(带有信息优先权及冲突检测的载波监听多路访问)的MAC(媒介访问控制)方式而倍受工业自动化领域中设备互连的厚爱。CAN的应用范围遍及从高速网络到低成本的多线路网络,它可以应用于汽车系统、机械、技术设备和工业自动化里几乎任何类型的数据通

2、信。随着计算机硬件、软件及集成电路技术的迅速发展,同时消费类电子产品、计算机外设、汽车和工业应用等的需求不断增加。高速、高可靠和低成本的通信介质的要求也随之提高。现场总线是当今自动化领域技术发展的热点之一,它为分布式控制系统实现各节点之间实时、可靠的数据通信提供了强有力的技术支持。微处理器中常用的串行总线是通用异步接收器传输总线(UART)、串行通信接口(SCI)、同步外设接口(SPI)、内部集成电路(I2C)和通用串行总线(USB),以及车用串行总线,包括控制器区域网(CAN)和本地互连网(LIN)等。这些总线在速度、物理接口要求和通信方法学上都有所不同。在计算机数据传输领域内,长期以来使用

3、的通信标准,尽管被广泛使用,但是无法在需要使用大量的传感器和控制器的复杂或大规模的环境中使用。控制器局部网CAN(CONTROLLER AERANETW0RK)就是为适应这种需要而发展起来的。随着汽车电子技术的发展,消费者对于汽车功能的要求越来越多,汽车上所用的电控单元不断增多,电控单元之间信息交换的需求,使得电子装置之间的通讯越来越复杂,同时意味着需要更多的连接信号线,这就促进了车用总线技术的发展。CAN 总线的出现,就是为了减少不断增加的信号线,所有的外围器件都可以被连接到总线上 由于CAN总线具有可靠性高、实时性好、成本合理等优点,逐渐被应用于如船舶、航天、工业测控、自动化、电力系统、楼

4、宇监控等其他领域中。1.2 CAN总线的特点80年代末,在汽车工业中,由于众多的控制设备及测试仪器之间需要进行大量数据交换,传统的总线无法满足需求,德国BOSCH公司提出了CAN 总线,这种新的串行数据通讯协议。CAN总线即控制器局域网总线,通信接口中集成了CAN 协议的物理层和数据链路层功能,可完成对通信数据的成帧处理,包括位填充、数据块编码、循环冗余检验、优先级判别等项工作。CAN (Controller Area Network)属于现场总线的一种,在传统的工业控制领域中,大部分的通信采用RS232、RS485或RS422总线。相比之下,RS232、RS485或RS422总线有着通信距离

5、近,通讯速度慢,抗干扰性能差等缺点。通讯一般采用主从方式,通过主站查询方式进行通讯,实时性、可靠性较差。当系统有错误,多节点同时向总线发送数据时,导致总线呈现短路,从而损坏某些节点。基于CAN 总线的分布式控制系统在很多方面具有明显的优越性。L而CAN 的电路结构简单,要求的线数较少,只需要两根线及外部器件互联,使得各控制单元能够通过CAN总线共享所有的信息和资源。达到简化布线、减少传感器数量、避免控制功能重复的效果。CAN 具有的完善的通信协议,并且可以通过CAN 控制器芯片及其接口芯片来实现,从而大大降低系统开发难度,缩短了开发周期。CAN支持分布式控制或实时控制,工作于多主方式,网络上各

6、个节点均可以在任一时刻主动发送信息,可以点对点,点对多点以及全局广播方式收发数据。网络中的各节点都可根据总线访问优先权,采用非破坏性总线总裁技术,通信速度快,可靠性高,可大大节省了总线仲裁冲突时间,在网络负载很重的情况下 也不会出现网络瘫痪。CAN没有采用站地址编码,而是对通信数据进行编码,这可使不同的节点同时接收到数据,这使得CAN 总线的数据通信实时性强,并且容易构成冗余结构,提高系统的可靠性和系统的灵活性。它具有如下特点:1) CAN 是到目前为止为数不多的有国际标准的现场总线,总线协议已被国际标准化组织认证,技术比较成熟,控制的芯片已经商品化,性价比高,特别适用于分布式测控系统之间的数

7、通讯。 2) CAN总线没有采取传统的站地址编码,不对节点进行地址规定,而是把通信数据块进行编码。这种方法的优点可使网络内的节点个数在理论上不受限制,数据块的标识码可由1l位或29位二进制数组成。这种按数据块编码的方式,使用报文的标识符来指定报文的优先级以及报文的内容,高优先级的节点享有传送报文的优先权。还可使不同的节点同时接收到相同的数据,这一点在分布式控制系统中非常有用。采用短帧结构,每一帧的有效字节数为8个,数据传输时间短,受干扰的概率低,重新发送的时间短,从而保证了通信的实时性。3) CAN 总线采用了多主竞争式总线结构,通信介质可以是双绞线、同轴电缆或光导纤维,可以多个主站运行并且分

8、散仲裁。CAN总线上任意节点可以点对点,一对多及广播集中方式传送和接受数据,而且可以任意时刻主动地向网络上其它节点发送信息而不分主次,因此可在各节点之间实现自由通信。CAN 通讯距离最大是1O公里(设速率为5Kbps),最大通信速率为1Mbits(设通信距离为4O米)。4) CAN 采用非破坏性的总线仲裁技术,即载波侦听多路访问冲突检测(CSMACD)的总线仲裁方式,当多个节点同时发送数据时,优先级低的节点会主动停止发送,高优先级的节点可继续传输,节省总线仲裁时间。避免多个节点同时开始发送消息而造成总线冲突,保证优先级高的报文能够优先发送。5) CAN总线协议采用了完善的错误检测及错误处理机制

9、,包括了CRC校验、错误报文自动重发、错误状态判断、临时错误自动恢复等措施,从而很好的保证了数据通信的可靠性。节点在错误严重的情况下,具有自动关黔6) 闭总线的功能,切断它及总线的联系,以使总线上其他操作不受影响。CAN 总线采用双线串行通信方式,检错能力强,可在高噪声干扰环境中工作。具有实时性强、传输距离较远、抗电磁干扰能力强、成本低等优点。可根据报文的ID决定接收或屏蔽该报文。可靠的错误处理和检错机制,发送的信息遭到破坏后,可自动重发,报文不包含源地址或目标地址,仅用标志符来指示功能信息、优先级信息。CAN卓越的特性、极高的可靠性和独特的设计,特别适合工业过程监控设备的通信,因此,越来越受

10、到工业界的重视,并已公认为最有前途的现场总线之一。CAN总线通过CAN 控制器接口芯片的输出端CANH和CANL及物理总线相连,而CANH端的状态只能是高电平或悬浮状态,CANL端只能是低电平或悬浮状态。CAN 总线的信号状态为2种,隐位及显位。当隐位及显位发送出现竞争时,最终结果是显位。在处理冲突时,由于该信号的二值且“单稳态”的特性变得简单,报错帧可以使所有节点很容易地取得一致性的数据,并且保证了节点状态的同步,这种二值特性对CAN 总线的可靠性有很大保障。而且CAN 节点在出现严重错误的情况下,可以自动关闭输出,避免总线上其他节点的操作受影响,从而保证不会因个别节点出现问题,而使得总线处

11、于”死锁”状态。CAN 总线具有位填充、回读、错误约束、出错自动重发等优点,同时通信速率高、通信距离远、容易实现、且性价比高。1.3 CAN总线的发展前景CAN 最初是用于汽车行业的监测、控制,用来解决汽车内部的复杂硬件信号接线的低成本通信总线,现今CAN总线已经被公认为一种可靠的网络总线在汽车上得以应用。CAN总线共享信息和资源,总线的数据通信提高了系统可靠性、实时性、灵活性、可维护性,更好地匹配和协调各个控制系统。随着汽车电子技术的发展具有高度灵活性、简单的扩展性、优良的抗干扰性和处理错误能力的CAN 总线,越来越受到人们的重视,它在汽车领域上得到了广泛的应用。世界上一些著名的汽车制造厂商

12、,都采用了CAN总线来实现汽车内部控制系统及各检测和执行机构间的数据通信。近年来CAN 总线已发展成为汽车电子系统的主流总线,已形成国际标准的现场总线,并由ISO正式颁布了IS011898CAN 高速应用标准和ISO11519CAN 低速应用标准,这为CAN 总线的标准化、规范化铺平了道路。CAN 总线以其高性能,高可靠性及独特的设计,在现代分布式测量及控制技术领域中的应用已愈来愈广泛。同时由于CAN 总线自身的特点,其应用范围目前已不再局限于汽车行业,而是转向铁路、交通、自动控制、航空航天、航海、机械工业、机器人、医疗器械及安防等领域发展。CAN总线的发展已经颇具规模,它不仅在汽车领域的应用

13、占据一定优势,在其他工业领域内也占有一席之地。这要归根于通信技术的不断进步,对通讯本身的要求不断提高,这种形势下CAN 总线的优势在于其优越的抗干扰能力和性价比。尽管CAN总线有一定局限,甚至还有漏洞,但对CAN 总线的改进还在继续,相信在未来的发展中,CAN 总线通过不断的更新和改进,能够取得更大的进步。第2章 系统方案总体设计2.1 系统原理当CAN总线上的一个节点(站)发送数据时,它以报文形式广播给网络中所有节点。对每个节点来说,无论数据是否是发给自己的,都对其进行接收。每组报文开头的11位字符为标识符,定义了报文的优先级,这种报文格式称为面向内容的编址方案。在同一系统中标识符是唯一的,

14、不可能有两个站发送具有相同标识符的报文。当几个站同时竞争总线读取时,这种配置十分重要CAN总线的报文发送和接收。当一个站要向其它站发送数据时,该站的CPU将要发送的数据和自己的标识符传送给本站的CAN芯片,并处于准备状态;当它收到总线分配时, 转为发送报文状态。CAN芯片将数据根据协议组织成一定的报文格式发出,这时网上的其它站处于接收状态。每个处于接收状态的站对接收到的报文进行检测,判断这些报文是否是发给自己的,以确定是否接收它。由于CAN总线是一种面向内容的编址方案,因此很容易建立高水准的控制系统并灵活地进行配置。我们可以很容易地在CAN总线中加进一些新站而无需在硬件或软件上进行修改。当所提

15、供的新站是纯数据接收设备时,数据传输协议不要求独立的部分有物理目的地址。它允许分布过程同步化,即总线上控制器需要测量数据时,可由网上获得,而无须每个控制器都有自己独立的传感器。2.2系统总体设计方框图图2-1 系统总体设计方框图2.2.1 51单片机系统的控制芯片采用AT89S52单片机来控制,因为AT89S52应用比较广泛,并且成本低,操作控制简单,功耗较低,运行可靠性强。系统本身对处理器处理速度的要求不是很高,所以选择该款单片机。AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K 在系统可编程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造,及工业80C5

16、1 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。AT89S52具有以下标准功能: 8k字节Flash,256字节RAM,32 位I/O 口线,看门狗定时器,2 个数据指针,三个16 位定时器/计数器,一个6向量2级中断结构,全双工串行口,片内晶振及时钟电路。另外,AT89S52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下

17、,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。图2-2 AT89S52引脚结构图2-3 AT89S52内部结构图 VCC : 电源 GND: 地 P0 口:P0口是一个8位漏极开路的双向I/O口。作为输出口,每位能驱动8个TTL逻辑电平。对P0端口写“1”时,引脚用作高阻抗输入。当访问外部程序和数据存储器时,P0口也被作为低8位地址/数据复用。在这种模式下,P0具有内部上拉电阻。在flash编程时,P0口也用来接收指令字节;在程序校验时,输出指令字节。程序校验时,需要外部上拉电阻。 P1 口:P1 口是一个具有内部上拉电阻的8 位双向I/O 口,p1 输出

18、缓冲器能驱动4 个TTL 逻辑电平。对P1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。此外,P1.0和P1.2分别作定时器/计数器2的外部计数输入(P1.0/T2)和时器/计数器2的触发输入(P1.1/T2EX),具体如下表所示。在flash编程和校验时,P1口接收低8位地址字节。表2-1 P1口引脚功能引脚号第二功能P1.0 T2(定时器/计数器T2的外部计数输入),时钟输出P1.1 T2EX(定时器/计数器T2的捕捉/重载触发信号和方向控制)P1.5 MOSI(在系统编程用)P1.6 MISO(

19、在系统编程用)P1.7 SCK(在系统编程用) P2 口:P2 口是一个具有内部上拉电阻的8 位双向I/O 口,P2 输出缓冲器能驱动4 个TTL 逻辑电平。对P2 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。在访问外部程序存储器或用16位地址读取外部数据存储器(例如执行MOVX DPTR)时,P2 口送出高八位地址。在这种应用中,P2 口使用很强的内部上拉发送1。在使用8位地址(如MOVX RI)访问外部数据存储器时,P2口输出P2锁存器的内容。在flash编程和校验时,P2口也接收高8位地址字节和

20、一些控制信号。 P3 口:P3 口是一个具有内部上拉电阻的8 位双向I/O 口,p2 输出缓冲器能驱动4 个TTL 逻辑电平。对P3 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。P3口亦作为AT89S52特殊功能(第二功能)使用,如下表所示。在flash编程和校验时,P3口也接收一些控制信号。表2-2 P3口引脚功能引脚号第二功能P3.0 RXD(串行输入)P3.1 TXD(串行输出)P3.2 INT0(外部中断0)P3.3 INT0(外部中断0)P3.4 T0(定时器0外部输入)P3.5 T1(定时

21、器1外部输入)P3.6 WR(外部数据存储器写选通)P3.7 RD(外部数据存储器写选通) RS: 复位输入。晶振工作时,RST脚持续2 个机器周期高电平将使单片机复位。看门狗计时完成后,RST 脚输出96 个晶振周期的高电平。特殊寄存器AUXR(地址8EH)上的DISRTO位可以使此功能无效。DISRTO默认状态下,复位高电平有效。 ALE/PROG:地址锁存控制信号(ALE)是访问外部程序存储器时,锁存低8 位地址的输出脉冲。在flash编程时,此引脚(PROG)也用作编程输入脉冲。在一般情况下,ALE 以晶振六分之一的固定频率输出脉冲,可用来作为外部定时器或时钟使用。然而,特别强调,在每

22、次访问外部数据存储器时,ALE脉冲将会跳过。如果需要,通过将地址为8EH的SFR的第0位置 “1”,ALE操作将无效。这一位置 “1”,ALE 仅在执行MOVX 或MOVC指令时有效。否则,ALE 将被微弱拉高。这个ALE 使能标志位(地址为8EH的SFR的第0位)的设置对微控制器处于外部执行模式下无效。 PSEN:外部程序存储器选通信号(PSEN)是外部程序存储器选通信号。当AT89S52从外部程序存储器执行外部代码时,PSEN在每个机器周期被激活两次,而在访问外部数据存储器时,PSEN将不被激活。EA/VPP:访问外部程序存储器控制信号。为使能从0000H 到FFFFH的外部程序存储器读取

23、指令,EA必须接GND。为了执行内部程序指令,EA应该接VCC。在flash编程期间,EA也接收12伏VPP电压。 XTAL1:振荡器反相放大器和内部时钟发生电路的输入端。 XTAL2:振荡器反相放大器的输出2.2.2 CAN总线收发器PCA82C250 是CAN 协议控制器和物理总线的接口这个器件对总线提供不同的发送能力和对CAN控制器提供不同的接收能力。图2-4 82C250引脚结构表2-3 82C250引脚管脚功能描述标记管脚功能描述TXD 11发送数据输入GND 22接地VCC 33提供电压RXD 44接收数据输出Vref 55参考电压输出CANL 66低电平CAN电压输入/输出CAN

24、H 77高电平CAN电压输入输出RS88 Slope 电阻输入图2-5 82C250方框图功能描述:PCA82C50 是CAN 协议控制器和物理总线的接口,它主要用于汽车里的高速应用(高达1Mbaud)。这个器件对总线提供不同的发送能力和对CAN控制器提供不同的接收能力完全和ISO11898标准兼容。限定的电流值保护接收器输出级,避免阳极和阴极的短路,尽管在默认的条件下功率消耗是增加的这个特征值将防止发送器输出级的毁坏。如果节点温度超过大约160摄氏度,发送器限定的电流值输出被降低因为发送器占去大部分的功率消耗,这将导致降额功耗和较低的片内温度,IC中的其它部分在使用中将保持不变,当总线短路的

25、时候热保护非常需要。这个CANH CANL线也被保护防止自动运作过程中电流的瞬变。表2-4 CAN收发器真值表提供TXD(发送)CANHCANL总线状况RXD 接收4.5to5.5V0高低控制04.5to5.5V1(或悬空)悬空悬空接收12V(未上电)X悬空悬空接收X2VVCC0.75 VCC悬空悬空接收X2VVCC0.75VCC悬空若VRS0.75VCC悬空接收X注意:X=随意值表2-5 管脚 RS真值表在RS管脚上强制条件模式在RS管脚上电压和电流VRS0.75VCC备用IRS| 10 A|10 A IRS 200 A斜率控制0.3VCC VRS0.6VCCVRS0.3VCC高速IRS 5

26、00 A管脚8 RS 有三种不同的工作模式可被选择: 高速,备用,斜率控制。对于高速工作模式,发送器输出级晶体管被尽可能地快启动和关闭,在这种模式下,没有措施用于限制上升和下降的斜度。建议使用屏蔽电缆可避免RFI 这种问题。通过把管脚8接地选择这个模式。对于低速或较短的总线长度,可使用一种没有屏蔽的双绞线或平行线。对于降低 RFI,上升和下降的斜率是个限定值,上升和下降的斜率能够被编程,通过从管脚8 接一个电阻至地,这个斜率和管脚8 的电流输出成比例。如果高电平被接至管脚8 ,电路进入低电流保护模式。在这种模式下,发送器被关闭,接收器开至低电流。如果控制位被检测(不同的总线电压0.9V), R

27、XD 将被开至低电平。这个微型控制器应对这个条件有所反应,通过转换收发器至正常工作状态。(经过管脚8)因为这个接收器在备用模式下比较慢,第一条信息会丢失掉。2.2.3 CAN总线控制器CAN总线控制器选用SJA1000,SJAl000是一个独立的控制器,有两种不同的模式。(1)BasicCAN模式:系统上电后默认的模式,及PCA82C200兼容。(2)PeliCAN模式:支持CAN2.0B协议规定的所有功能。图2-6 SJA1000引脚结构SJAl000功能模块主要有:接口管理逻辑、接收FIFO队列、接收滤波器、发送缓冲器和CAN核心模块。CAN核心模块基于CAN2.0B的协议执行对CAN帧的

28、发送和接收。逻辑管理接口实现及外部单片机的连接。SJAlO00的发送缓冲器可以存储一个完整的报文,当单片机开始一个传送时,逻辑管理接口会使CAN核心模块读发送缓冲器的数据,依照CAN2.OB协议发送数据。当收到一个报文时,cAN核心控制模块将连续的位转换为标准的数据存放于接收过滤器中,通过验收码寄存器、验收屏蔽寄存器进行过滤处理,将符合标志位要求的数据放人接收FIF0队列中以下为SJA1000芯片的特点:u 管脚及电气特性及独立CAN总线控制器PCA82C200兼容; u 软件及PCA82C200兼容(缺省为基本CAN模式); u 扩展接收缓冲器(64字节FIFO);u 支持CAN2.0B协议

29、;u 同时支持11位和29位标识符;u 位通讯速率为1Mbits/s; u 增强CAN模式(PeliCAN);u 采用24MHz时钟频率; u 支持多种微处理器接口; u 可编程CAN输出驱动配置; u 工作温度范围为-40+125。图2-7 SJA1000内部结构图从芯片的结构图中可以看出,SJA1000型独立CAN总线控制器由以下几部分构成:(1)接口管理逻辑:它接收来自微处理器的命令,控制CAN寄存器的地址,并为微处理器提供中断和状态信息。 (2)发送缓冲器:有13字节长。它位于CPU和位流处理器(BSP)之间,能存储一条将在CAN总线上发送的完整的报文,报文由CPU写入,由SBP读出。

30、 (3)接收缓冲器(RXB、RXFIFO):它是CPU和接收滤波器之间的接口,用来存储从CAN总线接收并通过了滤波的报文。接收缓冲器RXB是提供给CPU可访问的13字节的窗口,这个窗口是属于接收FIFO(RXFIFO)的一部分,共由64字节长。有了这个FIFO,可以在CPU处理一个报文的同时继续接收其他到来的报文。 (4)接收滤波器:它把报文头中的标识符和接收滤波寄存器中的内容进行比较,以判断文报文是否被接收。如果被接收,报文存入RXFIFO。 (5)位流处理器:它是一个控制发送缓冲器、RXFIFO并行数据和CAN总线(串行数据)之间数据的序列发生器,同时它也执行错误检测、仲裁、位填充和CAN

31、总线错误处理功能。 (6)位定时逻辑不:它将SJA1000同步于CAN总线上的位流。 (7)错误管理逻辑:它按照CAN协议完成错误界定。 2.2.4 光耦合器6N137光耦合器是一款用于单通道的高速光耦合器,其内部有一个850 nm 波长AlGaAs LED 和一个集成检测器组成,其检测器由一个光敏二极管、高增益线性运放及一个肖特基钳位的集电极开路的三极管组成。具有温度、电流和电压补偿功能,高的输入输出隔离,LSTTL/TTL 兼容,高速(典型为10MBd),5mA的极小输入电流。图2-8 6N137引脚结构特性:转换速率高达10MBit/s;摆率高达10kV/us;扇出系数为8;逻辑电平输出

32、;集电极开路输出;工作参数:最大输入电流,低电平:250uA 最大输入电流,高电平:15mA 最大允许低电平电压(输出高):0.8v 最大允许高电平电压:VCC 最大电源电压、输出:5.5V 扇出(TTL 负载):8个(最多) 工作温度范围:-40C t o+85C 典型应用:高速数字开关,马达控制系统和A/D 转换等。信号从脚2 和脚3 输入,发光二极管发光,经片内光通道传到光敏二极管,反向偏置的光敏管光照后导通,经电流-电压转换后送到及门的一个输入端,及门的另一个输入为使能端,当使能端为高时及门输出高电平,经输出三极管反向后光电隔离器输出低电平。当输入信号电流小于触发阈值或使能端为低时,输

33、出高电平,但这个逻辑高是集电极开路的,可针对接收电路加上拉电阻或电压调整电路。原理如上图所示,若以脚2 为输入,脚3 接地,则真值表如附表所列,这相当于非门的传输,若希望在传输过程中不改变逻辑状态,则从脚3 输入,脚2 接高电平。)表2-7 真值表图2-9 测试电路和波形第3章系统硬件设计3.1系统硬件的基本组成部分 该系统的硬件主要包含这几个部分:处理器、CAN总线控制器、CAN总线收发器。 结构电路如下:图3-1 系统硬件结构电路3.2 单片机电路设计本设计选择AT89S52单片机。AT89S52自带有片内ROM和一定数量的RAM,一般不需要扩展片外的存储器,并且能和MCS51产品兼容。本

34、设计选用简单基本的经典复位电路,它利用电容和电阻的充放电来产生一个达到时间要求的连续低电压,并输入到单片机的复位管脚,如下图所示:图3-2 单片机结构电路3.3 CAN总线控制器电路设计 SJA1000被设计为全面支持CAN 2.0B协议,而且支持多处理器接口,其接口电路十分简单,如下图所示:其电路图如下:图3-3 控制器结构电路3.4 CAN总线收发器电路设计CAN总线收发器选用PCA82C250,其封装为SOIC,而且只有8个引脚,其设计电路如下图所示:图3-4 CAN总线收发器结构电路 第4章软件设计4.1软件总体设计程序设计主要分为3部分:SJA1000初始化子程序、发送子程序、接收子

35、程序。可以说所有CAN通信程序设计都是遵循这3个基本步骤的,所以熟练掌握这3部分子程序的设计对于应用CAN总线的工程技术人员来说是非常有必要的。图4-1 CAN节点自发自收基本流程图4.2 CAN初始化图4- CAN节点初始化流程图4.3 SJA1000初始化微控制器和SJA1000之间状态、控制和命令信号的交换都是在控制段中完成的。初始化加载后,寄存器的接收代码、接收屏蔽、总线定时寄存器0和1以及输出控制就不能改变了。SJA1000共有2种工作模式:复位模式和工作模式。当硬件复位或控制器掉电时会自动进入复位模式,只有在复位模式下才可以对SJA1000进行初始化。初始化主要包括工作方式的设定、

36、接收屏蔽寄存器和接收代码寄存器的设定、总线时序寄存器的设置、输出模式寄存器和中断使能寄存器的设置等。在初始化完成以后,CAN总线就可以开始进行数据的接收及发送工作。图4-3 SJA1000初始化流程图4.4 发送及接收首先及CAN控制器连接的微处理器必须将要发送的数据从其数据存储区中取出,及主机的ID地址一起组成信息帧按CAN报文结构发送到发送缓冲器中,并置位命令寄存器中的发送请求标志,接收到发送请求后发送过程由CAN控制器自动完成。在检测到接收缓冲器中存在有效报文后,接收程序将接收缓冲器中的内容读入CPU的数据存储区。接收完毕后检查总线状态及溢出情况等并做相应处理。图4-4 发送及接收程序流

37、程图第5章系统调试计算机通过串口RS232发送数据到单片机AT89S52,单片机通过CAN控制器以及CAN收发器把数据转换成CAN通讯,传送到CANH,CANH把数据传送给CANL,CANL又通过CAN控制器把数据转换成串口通信数据发送到计算机,实现自发自收。图5-1 系统调试图在实现的过程中,用了一个LED,单片机发送一组数组TX 缓冲区里的数据,转换成CAN通讯CANH发送,LED变换一次,CANL收回来。将接受到的数据保存到数组RX 缓冲区。LED变换一次,同时用示波器的红色探头接触控制器的接收和发送的数据端,观察接收和发送的数据是否一致。图5-2 系统调试实物图调试结果比对: 图5-3

38、 发送的示波器示意图 图5-4 接收的数据示波器示意图由上面的图对比可以看出,接收的数据和发送的数据时一致的,实现了设计需要实现的功能自发自收。 结 论采用了多主工作方式及CSMACD的CAN总线以其显著优于传统“一主多从”式多机串行通讯模式而得到了广泛应用。实践表明,由于CAN总线结构简单,器件容易购置,开发技术易于掌握,且具有统一的国际标准,故在现场总线的发展中占有了重要位置。本系统设计通过单片机,CAN控制器SJA1000以及CAN收发器PCA82C250的电路设计,实现了CAN通信的自发自收。计算机通过串口RS232发送数据到单片机AT89S52,单片机通过CAN控制器以及CAN收发器

39、把数据转换成CAN通讯,传送到CANH,CANH把数据传送给CANL,CANL又通过CAN控制器把数据转换成串口通信数据发送到计算机,虽然这个系统简单,但在调试的过程中仍遇到了很多问题。通过这次设计,对CAN的通信有了较为深刻的认识和了解,以及对于不同通信方式之间的转换有了掌握。本系统设计电子学,数据通讯,硬件设计,软件设计等多方面的内容,知识范围广,由于本人水平有限,在设计过程中还有很多不足和不够完善的地方,恳请诸位专家、老师大力斧正,不吝赐教。致 谢本课题的选题、研究以及撰写工作是对大学生活的一个总结。在研究过程中,积累了很多实际经验和技巧,思维更加严谨,分析问题更加科学,做事更加细心。首

40、先,非常感谢我的指导老师王敏。正是在她孜孜不倦的教诲和亲切关怀下本文才得以顺利完成。王老师治学严谨、学识渊博,在大学期间给我指明发展方向,不仅传授专业理论知识,而且给我很多实践机会,她那踏实的工作作风以及高度负责的科研精神更是让我终身受益。其次,感谢培育我的母校,感谢测控技术及仪器专业的全体老师,是你们的辛勤培养使我掌握了扎实的专业基础知识;感谢我所有的朋友和同学,在成都理工大学一起生活和学习的美好时光里,给我的大学生活增添了无穷的乐趣和光彩,我们之间的深厚友谊将是我一生中最美好的留念。还要感谢我的家人,多年来他们总是只知付出,不求索取的爱护我、理解我、关心我、支持我,我的每一个足迹都离不开他

41、们的默默付出,他们鼓励的目光永远是我前进的动力。 最后,谨向在百忙之中审阅本文的各位专家和评委老师表示衷心的感谢。参考文献1孙涵芳Intel 16位单片机M北京:航空航天大学出版社.20002邬宽明CAN总线原理和应用系统设计M北京:航空航天大学出版社.20013蒋方帅Delphi5程序员指南M北京:人民邮电出版社.20004方方,周伟. 单片微机原理及应用M. 清华大学出版社,北京交通大学出版社.20075楼然苗,李光飞. 单片机课程设计指导M. 北京北京航空航天大学出版社.20076 杨春杰, 王曙光, 亢红波.CAN总线技术M. 北京航空航天大学出版社 .20107李勇,张怡.CAN总线

42、的设计及实现M.西北工业大学电子系.20008 杜善封.CAN总线测控技术及应用M.电子工业出版社.20079 邹应全.51系列单片机原理及实验教程M. 西安电子科技大学出版社.200710 邬宽明. CAN总线原理和应用系统设计M . 北京航空航天大学出版社. 199611 苏伟斌. 8051系列单片机应用手册.科学出版社M.199712 李真花, 崔健.CAN总线轻松入门及实践M. 北京航空航天大学出版社 201113 Paret , Dominique .Le Bus CAN : Controller Area Network.Dunod, 199614 jianling zhao,yu

43、anyuan xue. MCU development & application internalsM .电子工业出版社.2009 15 Carninci , Piero. Linformation economique et technique de la professionM. Societe dExpansion Technique et Economique 1989-200516夏巍,丁刚,严辉基于CAN总线和嵌入式网关的家庭智能控制网络J工业仪表及自动化装置,2008(6):29-3117朱齐丹,汪瞳CAN通信物理层建模及仿真口J.计算机工程及应用,2008,44(36):11

44、1518武忠祥,裴仁清,王黎俪,等基于CAN总线的分布式控制器设计和实现J.电子技术应用,2008(5):10811119王平,程明传嵌入式无线家庭网关的设计及实现J微计算机应用,2008,19(11):535620孙兵,何瑾,陈广厦基于DSP的CAN总线及以太网互联系统研制J仪器仪表学报,2008,29(2):37738021范伟成,王文良,沈孟良基于ATmega128单片机的CAN总线接口设计及应用J测控技术,2008,27(10):4850附录一系统原理图:附录二程序:/*CAN自发自收*/#include #include SJA1000_PELI.hsfr WDT_CONTR = 0

45、xe1;#define LED0 P1_5#define LED1 P1_6extern bit g_RiFlag;extern void SJAInit(void);extern bit SJASendData(unsigned char *SendDataBuf);extern bit SJAReceData(unsigned char *ReceiveDataBuf);void RS232Init(void);void DelayTime (unsigned int times);void CAN_TX( void);void CAN_RX( void);unsigned char TX

46、11;unsigned char RX11;void main(void)P1 = 0xff; SJA_RESET = 1; /SJA复位 DelayTime(50); SJA_RESET = 0; DelayTime(500); SJA_RESET=1; SJAInit(); /SJA初始化,自收自发模式,在模式寄存器修改(0x00)EX0 = 1;EA = 1; /中断开启while(1) CAN_TX(); /CAN发送一组数据LED0 =LED0; /LED灯变换一次CAN_RX();/CAN检测接收DelayTime(50); /延时 void DelayTime (unsigned

47、 int times) unsigned char i=0; while(times-) for(i=0;i50;i+) ; void CAN_TX( void)TX0 = 0x08; /标准帧TX1 = 0xff; /滤波全通TX2 = 0xff;TX3 = 0xfe; /发送的数据,(在这里为流水灯的花式)TX4 = 0xfd;TX5 = 0xfb;TX6 = 0xf7;TX7 = 0xf7;TX8 = 0xfb;TX9 = 0xfd;TX10 = 0xfe;SJASendData(TX); /把要发送的内容放到SJA的发送缓冲区SJARegWrite(0x01,0x10); /启动自收发SJARegWrite(0x01,0x02); /中止发送,即为单次发送,按一下,发送一次 void CAN_RX( void)if (g_RiFlag)/SJA接收中断标志 g_RiFlag = 0;SJAReceData(RX);/将接收到的内容从SJA的接收缓冲区读出SJARegWrite(1,0x04);/释放缓冲区 LED1 = !LED1;/LED1变换一次P1 = 0xff;

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!