基于FPGA的FSK调制解调器设计

上传人:沈*** 文档编号:129544934 上传时间:2022-08-03 格式:DOC 页数:15 大小:1.43MB
收藏 版权申诉 举报 下载
基于FPGA的FSK调制解调器设计_第1页
第1页 / 共15页
基于FPGA的FSK调制解调器设计_第2页
第2页 / 共15页
基于FPGA的FSK调制解调器设计_第3页
第3页 / 共15页
资源描述:

《基于FPGA的FSK调制解调器设计》由会员分享,可在线阅读,更多相关《基于FPGA的FSK调制解调器设计(15页珍藏版)》请在装配图网上搜索。

1、 编 号: 审定成绩: 重庆邮电大学毕业设计(论文)设计(论文)题目:基于FPGA的FSK调制解调器设计学 院 名 称 :自动化学 生 姓 名 : 专 业 :自动化班 级 :0810904学 号 :2009212482指 导 教 师 : 答辩组 负责人 :填表时间:2013 年 5 月重庆邮电大学教务处制 前 言4第一章 FPGA设计基础4第一节 FPGA简介4一、背景4二、 工作原理5三、 基本特点5第二节 EDA设计平台选择6一、 EDA工具软件6二、 Quartus II简介6第三节 硬件描述语言的选择7一、 HDL概述7二、 VHDL简介7三、HDL开发流程8第二章 FSK调制解调原理

2、9第一节 数字调制技术9第二节 数字调制的分类及特点9一、数字调制的分类9二、数字信号三种基本调制方式的特点9第三节 2FSK调制解调原理10一、 2FSK的调制原理10二、2FSK信号的解调12第三章 调制解调器系统方案设计14第一节 系统的实现原理14第二节 FSK调制方式的比较14第三节 FSK调制系统设计14第四节 FSK解调系统设计14第四章 软件设计及仿真14第五章 总结14致谢14参考文献14前 言第一章 FPGA设计基础第一节 FPGA简介一、背景 以硬件描述语言(Verilog或VHDL)所完成的电路设计,可以经过简 单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代

3、 IC设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flipflop)或者其他更加完整的记忆块。 系统设计师可以根据需要通过可编辑的连接把FPGA内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻辑功能。FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,但是功耗较低。但是他们也有很多的优点比如可以快

4、速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。另外一种方法是用CPLD(复杂可编程逻辑器件备)。 早在1980年代中期,FPGA已经在PLD设备中扎根。CPLD和FPGA包括了一些相对大数量的可编辑逻辑单元。CPLD逻辑门的密度在几千到几万个逻辑单元之间,而FPGA通常是在几万到几百万。 CPLD和FPGA的主要区别是他们的系统结构。CPLD是一个有点限制性的结构。这个结构由一个或者多个可编辑的结果之和的逻辑组列和一些

5、相对少量的锁定的寄存器。这样的结果是缺乏编辑灵活性,但是却有可以预计的延迟时间和逻辑单元对连接单元高比率的优点。而FPGA却是有很多的连接单元,这样虽然让它可以更加灵活的编辑,但是结构却复杂的多。 CPLD和FPGA另外一个区别是大多数的FPGA含有高层次的内置模块(比如加法器和乘法器)和内置的记忆体。因此一个有关的重要区别是很多新的FPGA支持完全的或者部分的系统内重新配置。允许他们的设计随着系统升级或者动态重新配置而改变。一些FPGA可以让设备的一部分重新编辑而其他部分继续正常运行。CPLD和FPGA还有一个区别:CPLD下电之后,原有烧入的逻辑结构不会消失;而FPGA下电之后,再次上电时

6、,需要重新加载FLASH里面的逻辑代码,需要一定的加载时间。 FPGA(现场可编程逻辑器件)产品的应用领域已经从原来的通信扩展到消费电子、汽车电子、工业控制、测试测量等广泛的领域。而应用的变化也使FPGA产品近几年的演进趋势越来越明显:一方面,FPGA供应商致力于采用当前最先进的工艺来提升产品的性能,降低产品的成本;另一方面,越来越多的通用IP(知识产权)或客户定制IP被引入FPGA中,以满足客户产品快速上市的要求。此外,FPGA企业都在大力降低产品的功耗,满足业界越来越苛刻的低功耗需求。二、 工作原理FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可

7、配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。 现场可编程门阵列(FPGA)是可编程器件,与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构。FPGA利用小型查找表(161RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了既可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加

8、载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能,FPGA允许无限次的编程。三、 基本特点1)采用FPGA设计ASIC电路(专用集成电路),用户不需要投片生产,就能得到合用的芯片。2)FPGA可做其它全定制或半定制ASIC电路的中试样片。3)FPGA内部有丰富的触发器和I/O引脚。4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。5) FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。F

9、PGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。第二节 EDA设计平台选择一、 EDA工具软件 EDA工具软件

10、可大致可分为芯片设计辅助软件、可编程芯片辅助设计软件、系统设计辅助软件等三类。目前进入我国并具有广泛影响的EDA软件是系统设计软件辅助类和可编程芯片辅助设计软件:Protel、Altium Designer、PSPICE、OrCAD、PCAD、LSIIogic、MicroSim、ISE、modelsim、Matlab、Quartus II等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同时还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。Altera 公司的Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易

11、用的接口,越来越受到数字系统设计者的欢迎。故本次设计采用Quartus II作为设计平台二、 Quartus II简介Max+plus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Max+plus II 的更新支持。Quartus II 是Altera公司继Max+plus II之后开发的一种针对其公司生产的系列CPLD/PGFA器件的综合性开发软件,它的版本不断升级,从4.0版到10.0版,这里介绍的是Quartus II 8.0版,该软件有如下几个显著的特点:1、Quartus II 的优点该软件界面友好,使用便捷,功能强

12、大,是一个完全集成化的可编程逻辑设计环境,是先进的EDA工具软件。该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。2、Quartus II对器件的支持Q

13、uartus II支持Altera公司的MAX 3000A系列、MAX 7000系列、MAX 9000系列、ACEX 1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K系列,支持MAX7000/MAX3000等乘积项器件。支持MAX II CPLD系列、Cyclone系列、Cyclone II、Stratix II系列、Stratix GX系列等。支持IP核,包含了LPM/MegaFunction宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。此外,Quartus II 通过和DSP Builder工具与Matlab/Si

14、mulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。3、Quartus II对第三方EDA工具的支持对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplic

15、ity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添 了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。第三节 硬件描述语言的选择 一、 HDL概述 HDL(Hardware Description Language),是硬件描述语言。顾名思义,硬件描述语言就是指对硬件电路进行行为描述、寄存器传输描述或者结构化描述的一种新兴语言。 随着EDA技术的发展,使用硬件语言设计PLD/FPGA成为一种趋势。目前最主要的硬件描述语言是VHDL和Verilog HDL。 VHDL发展的较早,语法严格,而Verilog HDL是在C语言的基础上发展起来的一种

16、硬件描述语言,语法较自由。 VHDL和Verilog HDL两者相比,VHDL的书写规则比Verilog烦琐一些。 VHDL的参考书很多,便于查找资料,而Verilog HDL的参考书相对较少,这给学习Verilog HDL带来一些困难。 从EDA技术的发展上看,已出现用于CPLD/FPGA设计的硬件C语言编译软件,虽然还不成熟,应用极少,但它有可能会成为继VHDL和Verilog之后,设计大规模CPLD/FPGA的又一种手段。综上所述,本次设计选用VHDL作为硬件描述语言。二、 VHDL简介VHDL的英文全名是Very-High-Speed Integrated Circuit Hardwa

17、reDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业

18、标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。 VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以

19、直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发

20、组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。三、HDL开发流程用VHDL语言开发FPGA的完整流程为:1.文本编辑:用任何文本编辑器都可以进行,也可以用专用的HDL编辑环境。通常VHDL文件保存为.vhd文件2.功能仿真:将文件调入HDL仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只在布线完成以后,进行时序仿真)3.逻辑综合:将源

21、文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式和信号的连接关系。逻辑综合软件会生成.edf(edif)的EDA工业标准文件。4.布局布线:将.edf文件调入PLD厂家提供的软件中进行布线,即把设计好的逻辑安放到PLD/FPGA内5.时序仿真:需要利用在布局布线中获得的精确参数,用仿真软件验证电路的时序。(也叫后仿真)6.编程下载:确认仿真无误后,将文件下载到芯片中通常以上过程可以都在PLD/FPGA厂家提供的开发工具(如MAXPLUSII,Foundation,ISE)中完成,但许多集成的PLD开发软件只支持VHDL/Verilog的子集,可能造成少数语法不能编译,如果采用专用H

22、DL工具分开执行,效果会更好,否则这么多出售专用HDL开发工具的公司就没有存在的理由了。第二章 FSK调制解调原理第一节 数字调制技术 数字基带信号中含有丰富的低频分量,由于传输信道的频率特性通常有限,即存在上、下限频率,超过此界限则不能进行有效数据传输,因此数字基带信号的频谱特性与信道频谱特性不匹配,不适于在传输信道中直接传送。通常在传输前要对数字基带信号进行处理,减少其低频分量与高频分量,使能量向中频集中,或者采用数字调制技术进行频谱搬移,以适应传输信道更高频谱范围的要求。 数字调制技术是指将数字基带信号调制在载波上,使其变换成适合信道传输的数字频带信号,从而实现频谱搬移,通常有三种基本的

23、载波调制方式,即幅度键控(ASK)、频率键控(FSK)和相位键控(PSK)。 第二节 数字调制的分类及特点一、数字调制的分类按照基带数字信号对载波的振幅、频率和相位等不同参数所进行的调制,可把数字调制方式分为3 种基本类型:幅度键控(ASK)、频移键控(FSK)和相移键控(PSK)。其他任何调制方式都是在这3种方式上的发展和组合。正交调幅QAM就是可以同时改变载波振幅和相位的调制方式,根据载波相位变化,调制分为两大类,即线性与非线性以及连续与不连续。前者是指在一个码元内相位路径的轨迹,后者是指在相邻码元转换点上相位路径是否连续。二相移相键控(BPSK),四相移相键控(QPSK)、交错正交移相键

24、控(OQPSK)属“不连续相位路径数字调制”;最小移频键控(MSK)属“线性连续相位路径数字调制”;正弦移频键控(SFSK)、平滑调频(TFM)、高斯滤波最小频移频键控(GMSK)属“非线性连续相位路径数字调制”。其中除了BPSK,QPSK,OQPSK之外,都可以看成调制指数h =1/2的连续相位移频键控(CPFSK)。二、数字信号三种基本调制方式的特点1、幅移键控(ASK)把二进制符号0和1分别用不同的幅度来表示。2、频移键控(FSK)即用不同的频率来表示不同的符号。如2KHz表示0,3KHz表示1。3、相移键控(PSK)通过二进制符号0和1来判断信号前后相位。如1时用相位,0时用0相位。从

25、频带宽度考虑,当码元间隔为丁时,ASK及PSK的频带宽度近似为2T,而FSK系统带宽几乎是ASK或PSK的3倍左右,因此从频带利用率角度分析,FSK最不可取;从误码率考虑,绝对移相相干接收PSK的抗噪声性能最好,其次是相干解调码型变换PSK、差分相干DPSK,随后依次是相干 PSK、非相干FSK、相干ASK、非相干ASK; 从抗信道变化能力考虑,FSK及PSK对信道特性变化不敏感,抗信道变化能力强,而ASK系统最佳判决门限为A2,与接收输入信号幅度有关,故ASK性能最差。 总之,相干PSK、DPSK与非相干PSK目前使用较多,其中相干PSK、DPSK主要用于高速数据传输。第三节 2FSK调制解

26、调原理一、 2FSK的调制原理1.2FSK信号的时域表达式二进制频移键控(2FSK)是指载波的频率受调制信号的控制,而幅度和相位保持不变。设二进制数字信号的“1”对应载波,“0”对应载波,而且和之间的改变是瞬间完成的。因此,二进制频移键控信号可以看成是两个不同载波的二进制幅移键控信号的叠加,根据以上分析,得出2FSK信号的的时域表达式 (2.2-1)这里和分别表示第n个信号码元的初始相位,是的反码,且有 (2.2-2)一般的,我们将看作是宽度为的单极性矩形脉冲波。设 (2.2-3)于是,可以将2FSK信号表示为 (2.2-4)2FSK信号的典型时间波形如图2.2-1所示。 图2.2-1 2FS

27、K信号时间波形2.2FSK信号产生通常2FSK信号可以由两种电路实现。图2.1-2(a)所示为模拟调频法,它是利用二进制基带信号对载波进行调频,这种方法不存在相位断续的现象,是频移键控通信方式早期采用的实现方法。图2.1-2(b)所示是用数字键控法产生二进制一品监控信号的原理图,图中两个振荡器的输出载波受输入的二进制基带信号控制,在一个码元期间输出和两个载波之一,该方法由于使用两个独立的振荡器,使得信号波形的相位存在不连续的现象,但它具有转换速度快、波型好、稳定度高且易于实现等优点,故引用广泛。 图2.2-2二、2FSK信号的解调2FSK的解调也可以分为非相干(包络检波)和相干解调。图2.2-

28、3是2FSK非相干解调原理方框图。图中两个中心频率为和带通滤波器的作用是取出频率为和高频信号,包络检波器将各自的包络取出至抽样判决器,抽样判决器在抽样脉冲达到时对包络的样值和进行判决,判决准则是当抽样值满足判为频率代表的数字基带信号,即“1”码;当,判为频率代表的数字基带信号;若抽样值若抽样值,判为频率代表的数字基带信号。 图2.2-4 2FSK相干解调原理方框图2FSK另外一种常用而简便的解调方法是过零检波解调法,其解调原理框图及各点时间波形如图2.2-5(a)和(b)所示。其基本原理是:二进制移频键控信号的过零点数随载波频率不同而异,通过检测过零点数从而得到频率的变化。在图2.2-5中,输入信号经过限幅后产生矩形波,经微分、整流、脉冲波形成形后得到与频率变化相关的矩形脉冲波,再经低通滤波器滤除高次谐波,便恢复出与原数字信号对应的数字基带信号。 (a)原理框图 (b)各点波形 图2.2-5 2FSK信号的过零检测法第三章 调制解调器系统方案设计第一节 系统的实现原理第二节 FSK调制方式的比较第三节 FSK调制系统设计第四节 FSK解调系统设计第四章 软件设计及仿真第五章 总结致谢参考文献第 15 页 共 15 页

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!