基于FPGA点阵显示屏设计软件

上传人:卷*** 文档编号:125742060 上传时间:2022-07-27 格式:DOC 页数:61 大小:1.17MB
收藏 版权申诉 举报 下载
基于FPGA点阵显示屏设计软件_第1页
第1页 / 共61页
基于FPGA点阵显示屏设计软件_第2页
第2页 / 共61页
基于FPGA点阵显示屏设计软件_第3页
第3页 / 共61页
资源描述:

《基于FPGA点阵显示屏设计软件》由会员分享,可在线阅读,更多相关《基于FPGA点阵显示屏设计软件(61页珍藏版)》请在装配图网上搜索。

1、东 华 理 工 大 学 长 江 学 院毕 业 论 文题 目:基于FPGA点阵显示屏设计(软件)Title: Design of Dot Matrix Screen based on FPGA毕业设计(论文)原创性声明和使用授权阐明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指引教师的指引下进行的研究工作及获得的成果。尽我所知,除文中特别加以标注和道谢的地方外,不涉及其她人或组织已经刊登或发布过的研究成果,也不涉及我为获得 及其他教育机构的学位或学历而使用过的材料。对本研究提供过协助和做出过奉献的个人或集体,均已在文中作了明确的阐明并表达了谢意。作 者 签 名: 日 期: 指引教

2、师签名: 日期: 使用授权阐明本人完全理解 大学有关收集、保存、使用毕业设计(论文)的规定,即:按照学校规定提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其他复制手段保存论文;在不以获利为目的前提下,学校可以发布论文的部分或所有内容。作者签名: 日 期: 学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指引下独立进行研究所获得的研究成果。除了文中特别加以标注引用的内容外,本论文不涉及任何其她个人或集体已经刊登或撰写的成果作品。对本文的研究做出重要奉献的个人和集体,均已在文中以明确方式标明

3、。本人完全意识到本声明的法律后果由本人承当。作者签名: 日期: 年 月 日学位论文版权使用授权书本学位论文作者完全理解学校有关保存、使用学位论文的规定,批准学校保存并向国家有关部门或机构送交论文的复印件和电子版,容许论文被查阅和借阅。本人授权 大学可以将本学位论文的所有或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定解决。作者签名:日期: 年 月 日导师签名: 日期: 年 月 日摘 要本设计是一1616点阵LED电子显示屏的设计。整机以EP2C5T144C8N为主控芯片,简介了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。

4、通过该芯片控制一种行驱动器74HC154和两个列驱动器74HC595来驱动显示屏显示。该电子显示屏可以显示多种文字或单色图像,采用4块8 x 8点阵LED显示模块来构成16x16点阵显示模式。显示采用动态显示,使得图形或文字可以实现静止、移入移出等多种显示方式。文中具体简介了LED点阵显示的硬件设计思路、硬件电路各个部分的功能及原理、相应软件的程序设计,以及使用阐明等。控制系统程序采用FPGA编辑,通过编程控制各显示点相应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从原则字库中提取。LED显示以其组构方式灵活、显示稳定、功耗

5、低、寿命长、技术成熟、成本低廉等特点在车站、证券所、运动场馆、交通干道及多种室内/外显示场合的信息发布,公益宣传,环境参数实时,重大活动倒计时等等得到广泛的应用。设计成果证明,该系统性能稳定,构造合理,具有横向扩展拼接功能。核心词: LED FPGH 硬件描述语言ABSTRACTThe design is a 16 16 dot matrix LED display design. The machine to EP2C5T144C8N as the main chip, LED dot-matrix electronic display for the control system it d

6、ynamic design and development process. Through the chip to control a line drive 74HC154 and two drive 74HC595 to drive the display shows. The electronic screen can display text or monochrome images, four 8 x 8 dot matrix LED display module to form a 16x16 dot matrix display mode. Displays the dynami

7、c display, graphics or text to achieve stationary, moved out of the other display.LED dot matrix display hardware design ideas, features and principles of the various parts of the hardware circuit, the corresponding software programming, and instructions for use, etc. in detail.Control system using

8、FPGA Editor, by programming the control point corresponds to the LED anode and cathode level, can effectively control the display point bright off. Shows the character of the lattice data can write your own (ie, direct dot drawing) can also be extracted from the standard font.LED display with its gr

9、oup structure is flexible, showing a stable, low power consumption, long life, mature technology, low cost and other characteristics of the station, securities, sports venues, major trunk roads and a variety of indoor / outdoor occasions, information dissemination, public promotion, environmental pa

10、rameters in real time, the major activities of the countdown has been widely used.The design results show that the system is stable performance, reasonable structure, with horizontal expansion splicing.Keywords: LED FPGH hardware description language目 录第一章 绪论11.1 FPGA的发展历史和发展方向11.2 FPGA的应用31.3 LED的定

11、义和特点41.4 LED简介51.5 LED显示屏的分类7第二章 系统整体设计方案82.1 需要实现的功能82.2 显示模式方案论证82.3 显示数据传播方案论证9第三章 硬件设计103.1 FPGA最小系统103.2 FPGA硬件系统的设计技巧143.4 列驱动电路143.5 行驱动电路173.6 点阵LED电子显示屏电路18第四章 系统程序的设计204.1 系统主程序20第五章 调试及仿真225.1系统开发工具简介225.2调试与仿真225.3编译245.4时序仿真25结 论27致 谢28参照文献29附录一30第一章 绪论随着FPGA的不断发展,应用于生活当中屡见不鲜。以其简朴,便捷,低成

12、本及低功耗的特点逐渐取代了以往中、小规模的集成电路产品。只要写入不同的程序,同一片FPGA可以完毕不同的工作,FPGA极高的可靠性,微型性和智能性(需编写不同的程序后就可以完毕不同的控制工作),FPGA已成为工业控制领域中普遍采用的智能化控制工具,已经深深地渗入到平常生活当中。 1.1 FPGA的发展历史和发展方向 每一种后看来很成功的新事物,从诞生到发展壮大都不可避免地经历过艰难的历程,并也许成为被研究的案例,FPGA也不例外。1985年,当全球首款FPGA产品XC2064诞生时,注定要使用大量芯片的PC机刚刚走出硅谷的实验室进入商业市场,因特网只是科学家和政府机构通信的神秘链路,无线电话笨

13、重得像砖头,后来大红大紫的BillGates正在为生计而奋斗,创新的可编程产品似乎并没有什么用武之地。 事实也的确如此。最初,FPGA只是用于胶合逻辑(GlueLogic),从胶合逻辑到算法逻辑再到数字信号解决、高速串行收发器和嵌入式解决器,FPGA真正地从配角变成了主角。在以闪电般速度发展的半导体产业里,22年足够变化一切。“在将来十年内每一种电子设备都将有一种可编程逻辑芯片”的抱负正成为现实。1985年,Xilinx公司推出的全球第一款FPGA产品XC2064怎么看都像是一只“丑小鸭”采用2m工艺,涉及64个逻辑模块和85000个晶体管,门数量不超过1000个。22年后的,FPGA业界双雄

14、Xilinx和Altera公司纷纷推出了采用最新65nm工艺的FPGA产品,其门数量已经达到千万级,晶体管个数更是超过10亿个。一路走来,FPGA在不断地紧跟并推动着半导体工艺的进步采用150nm工艺、采用130nm工艺,采用90nm工艺,采用65nm工艺。在上世纪80年代中期,可编程器件从任何意义上来讲都不是当时的主流,虽然其并不是一种新的概念。可编程逻辑阵列(PLA)在1970年左右就浮现了,但是始终被觉得速度慢,难以使用。1980年之后,可配备可编程逻辑阵列(PAL)开始浮现,可以使用原始的软件工具提供有限的触发器和查找表实现能力。PAL被视为小规模/中档规模集成胶合逻辑的替代选择被逐渐

15、接受,但是当时可编程能力对于大多数人来说仍然是陌生和具有风险的。20世纪80年代在“megaPAL”方面的尝试使这一状况更加严重,由于“megaPAL”在功耗和工艺扩展方面有严重的缺陷,限制了它的广泛应用。然而,Xilinx公司创始人之一FPGA的发明者RossFreeman觉得,对于许多应用来说,如果实行得当的话,灵活性和可定制能力都是具有吸引力的特性。也许最初只能用于原型设计,但是将来也许替代更广泛意义上的定制芯片。事实上,正如Xilinx公司亚太区营销董事郑馨南所言,随着技术的不断发展,FPGA由配角到主角,诸多系统设计都是以FPGA为中心来设计的。FPGA走过了从初期开发应用到限量生产

16、应用再到大批量生产应用的发展历程。从技术上来说,最初只是逻辑器件,目前强调平台概念,加入数字信号解决、嵌入式解决、高速串行和其她高品位技术,从而被应用到更多的领域。“过去来,PLD产品的终极目的始终瞄准速度、成本和密度三个指标,即构建容量更大、速度更快和价格更低的FPGA,让客户能直接享用。”Actel司总裁兼首席执行官JohnEast如此总结可编程逻辑产业的发展脉络。当1991年Xilinx公司推出其第三代FPGA产品XC4000系列时,人们开始认真考虑可编程技术了。XC4003涉及44万个晶体管,采用0.7m工艺,FPGA开始被制造商觉得是可以用于制造工艺开发测试过程的良好工具。事实证明,

17、FPGA可为制造工业提供优秀的测试能力,FPGA开始用来替代原先存储器所扮演的用来验证每一代新工艺的角色。也许从那时起,向最新制程半导体工艺的转变就已经不可阻挡了。最新工艺的采用为FPGA产业的发展提供了机遇。Actel公司相信,Flash将继续成为FPGA产业中重要的一种增长领域。Flash技术有其独特之处,能将非易失性和可重编程性集于单芯片解决方案中,因此能提供高成本效益,并且处在有利的位置以抢占庞大的市场份额。Actel以Flash技术为基本的低功耗IGLOO系列、低成本的ProASIC3系列和混合信号Fusion FPGA将因具有Flash的固有优势而继续引起全球广泛的爱好和注意。Al

18、tera公司估计可编程逻辑器件市场在的规模大概为37亿美元,Xilinx公司的估计更为乐观某些,为50亿美元。虽然两家公司合计占据该市场90%的市场份额,但是作为业界老大的Xilinx公司在的营收但是18.4亿美元,Altera公司则为12.9亿美元。PLD市场在达到41亿美元,其后两年浮现了下滑,大概为23亿美元。虽然从到,PLD市场每年都在增长,复合平均增长率接近13%,但是PLD究竟是一种规模较小的市场。而Xilinx公司也敏锐地意识到,FPGA产业在经历了过去几年的迅速成长后将放慢迈进的脚步,那么,将来FPGA产业的出路在哪里?Altera公司总裁兼首席执行官John Daane觉得,

19、FPGA及PLD产业发展的最大机遇是替代ASIC和专用原则产品(ASSP),重要由ASIC和ASSP构成的数字逻辑市场规模大概为350亿美元。由于顾客可以迅速对PLD进行编程,按照需求实现特殊功能,与ASIC和ASSP相比,PLD在灵活性、开发成本以及产品及时面市方面更具优势。然而,PLD一般比这些替代方案有更高的成本构造。因此,PLD更适合对产品及时面市有较大需求的应用,以及产量较低的最后应用。PLD技术和半导体制造技术的进步,从总体上缩小了PLD和固定芯片方案的相对成本差,在此前由ASIC和ASSP占据的市场上,Altera公司已经成功地提高了PLD的销售份额,并且此后将继续这一趋势。“F

20、PGA和PLD供应商的核心目的不是简朴地增长更多的原型客户,而是向大批量应用最后市场和客户渗入。”John Daane为FPGA产业指明了方向。Xilinx公司觉得,ASIC SoC设计周期平均是14个月到24个月,用FPGA进行开发时间可以平均减少55%。而产品晚上市半年5年内将少33%的利润,每晚四周等于损失14%的市场份额。因此,郑馨南雄心勃勃地预言:“FPGA应用将不断加快,从面向50亿美元的市场扩展到面向410亿美元的市场。”其中,ASIC和ASSP市场各150亿美元,嵌入式解决和高性能DSP市场各30亿美元。虽然没有像蒸汽机车发明之初备受讥笑被讥讽为“怪物”,但是FPGA在诞生之初

21、受到怀疑是毫无疑问的。当时,晶体管逻辑门资源极为贵重,每个人都但愿用到的晶体管越少越好。但是,Ross Freeman挑战了这一观念,她大胆预言:“在将来,晶体管将变得极为丰富从而可以免费使用。”如今,这一预言成为现实。“FPGA非常合用于原型设计,但对于批量DSP系统应用来说,成本太高,功耗太大。”这是业界此前的普遍观点,很长时间以来也为FPGA进入DSP领域设立了观念上的障碍。而如今,随着Xilinx公司和Altera公司有关产品的推出,DSP领域已经不再是FPGA的禁区,相反却成了FPGA将来的但愿所在。FPGA对半导体产业最大的奉献莫过于创立了无生产线(Fabless)模式。如今采用这

22、种模式司空见惯,但是在20近年前,制造厂被觉得是半导体芯片公司必须认真考虑的重要竞争优势。然而,基于过去和关系和直接、清晰的业务模式,Xilinx创始人之一Bernie Vonderschmitt成功地使日本精工公司(Seiko)确信运用该公司的制造设施来生产Xilinx公司设计的芯片对双方都是有利的,于是,无生产线模式诞生了。将来,相信FPGA还将在更多方面变化半导体产业!1.2 FPGA的应用1.2.1 电路设计中FPGA的应用连接逻辑,控制逻辑是FPGA初期发挥作用比较大的领域也是FPGA应用的基石.事实上在电路设计中应用FPGA的难度还是比较大的这规定开发者要具有相应的硬件知识(电路知

23、识)和软件应用能力(开发工具)这方面的人才总是紧缺的,往往都从事新技术,新产品的开发成功的产品将变成市场主流基本产品供产品设计者应用在不远的将来,通用和专用IP的设计将成为一种热门行业!搞电路设计的前提是必须要具有一定的硬件知识.在这个层面,干重于学,固然,迅速入门是很重要的,越好的位子越不等人电路开发是黄金饭碗.1.2.2 产品设计把相对成熟的技术应用到某些特定领域如通讯,视频,信息解决等等开发出满足行业需要并能被行业客户接受的产品这方面重要是FPGA技术和专业技术的结合问题,此外尚有就是与专业客户的界面问题产品设计还涉及专业工具类产品及民用产品,前者重点在性能,后者对价格敏感产品设计以实现

24、产品功能为重要目的,FPGA技术是一种实现手段在这个领域,FPGA由于具有接口,控制,功能IP,内嵌CPU等特点有条件实现一种构造简朴,固化限度高,功能全面的系统产品设计将是FPGA技术应用最广大的市场,具有极大的爆发性的需求空间产品设计对技术人员的规定比较高,路途也比较漫长但是目前整个行业正处在组建首发团队的状态,只要加入,前程光明产品设计是一种职业发展方向定位,不是简朴的爱好就能做到的!产品设计领域会造就大量的公司和公司家,是一种近期的发展热点和机遇1.2.3 系统级应用系统级的应用是FPGA与老式的计算机技术结合,实现一种FPGA版的计算机系统如用XilinxV-4, V-5系列的FPG

25、A,实现内嵌POWERPCCPU, 然后再配合多种外围功能,实现一种基本环境,在这个平台上跑LINUX等系统这个系统也就支持多种原则外设和功能接口(如图象接口)了这对于迅速构成FPGA大型系统来讲是很有协助的。这种山寨味很浓的系统初期优势不一定很明显,类似ARM系统的境况但若能慢慢发挥出FPGA的优势,逐渐实现某些特色系统也是一种发展方向。若在系统级应用中,开发人员不具有系统的扩大开发能力,只是搞搞编程是没什么意义的,固然设备驱动程序的开发是另一种状况,搞系统级应用看似起点高,但不具有深层开发能力,很也许会变成爱好者,就如诸多人会做网页但不能称做会编程类似以上是几点个人开发,但愿能协助想学FP

26、GA但很茫然无措的人理一理思路。这是一种不错的行业,有较好的个人成功机会。但也肯定是一种竞争很剧烈的行业,核心看的就是速度和深度固然尚有市场适应能力。1.3 LED的定义和特点Light Emitting Diode,即发光二极管,是一种固态的半导体器件,它可以直接把电转化为光。LED的心脏是一种半导体的晶片,晶片的一端附在一种支架上,一端是负极,另一端连接电源的正极,使整个晶片被环氧树脂封装起来。半导体晶片由两部分构成,一部分是P型半导体,在它里面空穴占主导地位,另一端是N型半导体,在这边重要是电子。但这两种半导体连接起来的时候,它们之间就形成一种P-N结。当电流通过导线作用于这个晶片的时候

27、,电子就会被推向P区,在P区里电子跟空穴复合,然后就会以光子的形式发出能量,这就是LED发光的原理。而光的波长也就是光的颜色,是由形成P-N结的材料决定的。 1、 LED特点和长处 :LED的内在特性决定了它是最抱负的光源去替代老式的光源,它有着广泛的用途。 2、体积小 :LED基本上是一块很小的晶片被封装在环氧树脂里面,因此它非常的小,非常的轻。 3、耗电量低 :LED耗电非常低,一般来说LED的工作电压是2-3.6V。工作电流是0.02-0.03A。这就是说:它消耗的电不超过0.1W。 4、使用寿命长 :在恰当的电流和电压下,LED的使用寿命可达10万小时5、高亮度、低热量 6、环保 :L

28、ED是由无毒的材料作成,不像荧光灯含水银会导致污染,同步LED也可以回收再运用。 7、结实耐用 :LED是被完全的封装在环氧树脂里面,它比灯泡和荧光灯管都结实。灯体内也没有松动的部分,这些特点使得LED可以说是不易损坏的。 LED发光技术的原理是某些半导体材料在通以电流的状况下会发出特定波长的光,这种电到光的转换效率非常高,对所用材料进行不同的化学解决,就可以得到多种亮度和视角。LED散发出电磁波(一种振动极高的频率),当这些波达到380nm(nanometer)以上及在780nm如下,在这中间的波长是可见光,一种能被人的眼睛看到的可视光。LED是一种非常有用及有效率的光源,它的光学构造体实在

29、已将发出的光几无损失的集合起来,经狭小的构造投射出来,它的颜色是根据它使用的半导体成分导致,目前大概有红、黄、绿及白光等等。 1.4 LED简介LED电子显示屏是由几万至几十万个半导体发光二极管像素点均匀排列构成。运用不同的材料可以制造不同色彩的LED像素点。目前应用最广的是红色、绿色、黄色。而蓝色和纯绿色LED的开发已经达到了。LED显示屏可以显示变化的数字、文字、图形图像;不仅可以用于室内环境还可以用于室外环境,具有投影仪、电视墙、液晶显示屏无法比拟的长处。 LED显示屏显示画面色彩鲜艳,立体感强,静如油画,动如电影,广泛应用于车站、码头、机场、商场、医院、宾馆、银行、证券市场、建筑市场、

30、拍卖行、工业公司管理和其他公共场合。在国内改革开放之后,特别是进入90年代国民经济高速增长,对公众场合发布信息的需求日益强烈,LED显示屏的浮现正好适应了这一市场形势,因而在LED显示屏的设计制造技术与应用水平上都得到了迅速的提高,生产也得到了迅速的发展,并逐渐形成产业,成为光电子行业的新兴产业领域。LED显示屏经历了从单色、双色图文显示屏,到图像显示屏的发展过程。显示技术是一种将反映外界客观事物的信息(光学的。电学的。声学的、化学的等),通过变换解决,以合适的形式(重要有图像、图形、数码、字符)加以显示,供人观看、分析、运用的一种技术。目前所谓的显示技术,可以称作电子信息显示技术,它是建立在

31、光学、化学、电子学、机械学、声学等科学技术基本上的具有某种限度综合性的技术。电子显示技术的应用与研究波及的范畴很广,涉及多种发光材料的发光机理的研究、实验;多种显示方式的基本原理及其构造形式,显示用的材料与器件的选择和制作工艺;显示信息的输入、变换、解决和控制,等等。随着科学技术的发展,随着经济、军事、社会与人们生活的发展,信息的种类和数量不断增长。和诸多应用术语同样,LED图文显示屏并没有一种公认的严格的定义,一般把显示图形和/或文字的LED显示屏称为图文屏。这里所说的图形,是指由单一亮度线条构成的任意图形,以便于不同亮度(灰度)点阵构成的图像相区别。图文显示屏的重要特性是只控制LED点阵中

32、各发光器件的通断(发光或熄灭),而不控制LED的发光强弱。LED图文显示屏的外观可以做成条形,叫做条形图文显示屏(简称条屏),也可以按一定高度比例做成矩形的平面图文显示屏。其实条屏只但是是其宽度远不小于高度的平面显示屏,在显示与控制的原理上并无区别。 从理论上说,不管显示图形还是文字,都是控制与构成这些图形或文字的各个点所在位置相相应的LED器件发光。一般事先把需要显示的图形文字转换成点阵图形,再按照显示控制的规定以一定的格式形成显示数据。对于只控制通断的图文显示屏来说,每个LED发光器件占据数据中的1位(1bit),在需要该LED器件发光的数据中相应的位填1,否则填0。固然,根据控制电路的安

33、排,相反的定义同样是可行的。这样根据所需显示的图形文字,按显示屏的各行各列逐点填写显示数据,就可以构成一种显示数据文献。显示图形的数据文献,其格式相对自由,只要可以满足显示控制的规定即可。文字的点阵格式比较规范,可以采用现行计算机通用的字库字模。构成一种字的点阵,其大小也可以有88、1616、2424、等不同规格。中文的点阵构造相应的显示数据是用16进制格式以字节为单位表达的。 用点阵方式构成图形或文字,是非常灵活的,可以根据需要任意组合和变化,只要设计好合适的数据文献,就可以得到满意的显示效果。因而采用点阵式图文显示屏显示常常需要变化的信息,是非常有效的。 点阵显示方式适应信息变化的长处,是

34、以点阵显示屏的价格和其复杂的控制电路为代价的。点阵显示屏在整个显示单元的所有位置上都布置了LED器件,而像数码管一类的显示屏件只在需要发光的七段位置上布置LED器件,其他位置是空白的。因此,点阵显示屏在相似面积状况下,价格要贵些。但是,数码管可显示的信息有限,只有09或单个的英文字符,由于组合形成的字符不多,因此其显示数据和控制电路都比较简朴。点阵显示屏则否则,它要对点阵上所有LED进行控制,并能生成所有也许显示的图形文字,其显示数据和控制电路自然要复杂得多。因此,根据不同的场合,不同的显示特点和格式可以分别采用数码管器件或点阵显示方式,有的状况可以采用两者搭配的混合屏显示方式。图文显示屏的颜

35、色,有单色、双色、和多色几种。最常用的是单色图文屏。单色屏多使用红色、橘红色或橙色LED点阵单元。双色图文屏和多色图文屏,在LED点阵的每一种“点”上布置有两个或多种不同颜色的LED发光器件。换句话说,相应于每种颜色均有自己的显示矩阵。显示的时候,各颜色的显示点阵是分开控制的。事先设计好多种颜色的显示数据,显示时分别送到各自的显示点阵,即可实现预期效果。每一种颜色的控制措施和单色的完全相似,因此掌握了单色图文显示屏的原理,双色屏和多色屏就不难理解了。1.5 LED显示屏的分类 1、按颜色基色可以分为:单基色显示屏:单一颜色(红色或绿色)。双基色显示屏:红和绿双基色,256级灰度、可以显示655

36、36种颜色。全彩色显示屏:红、绿、蓝三基色,256级灰度的全彩色显示屏可以显示一千六百多万种颜色。2、按显示屏件分类:LED数码显示屏:显示屏件为7段码数码管,适于制作时钟屏、利率屏等,显示数字的电子显示屏。LED点阵图文显示屏:显示屏件是由许多均匀排列的发光二极管构成的点阵显示模块,适于播放文字、图像信息。第二章 系统整体设计方案2.1 需要实现的功能设计一种1616点阵LED图文显示屏,规定在目测条件下LED显示屏各点亮度均匀、充足,可显示图形和文字,显示图形或文字应稳定、清晰无串扰。图形或文字显示有静止、移入移出、横向扩展拼接等显示方式。2.2 显示模式方案论证为了吸引观众增强显示效果,

37、可以有多种显示模式,最简朴的显示模式是静态显示。这里所说的“静态显示模式”不同于静态驱动方式。与静态显示模式相相应,就有多种动态显示模式,它们所显示的图文都是可以动的。按照图文运动的特点又可以分为闪烁、平移、旋转、缩放等多种显示模式。产生不同显示模式的措施,并不意味着一定要重新编写显示数据,可以通过一定的算法从本来的显示数据直接生成。例如,按顺序调节行号,可以使显示图文产生上下平移;而顺序调节列显示数据的位置,就可以达到左右平移的目的;同步调节行列顺序,就能得到对角线平移的效果。其他模式的数据刷新,也可找到相应的算法。但是当算法太复杂,太挥霍时间的话,也可以考虑预先生成刷新数据,存储藏用。刷新

38、的时间控制,要考虑运动图形文字的显示效果。刷新太慢,动感不明显;刷新太快了,中间过程看不清。一般刷新周期可控制在几十毫秒范畴之内。 从理论上说,不管显示图形还是文字,只要控制与构成这些图形或文字的各个点所在位置相相应的LED器件发光,就可以得到我们想要的显示成果,这种同步控制LED显示屏的各个发光点亮灭的措施称为静态驱动显示方式。1616的点阵共有256个发光二极管,显然单片机没有这样多端口,如果我们采用锁存器来扩展端口,按8位的锁存器来计算,1616的点阵需要256/8=32个锁存器。这个数字很庞大,由于我们仅仅是1616的点阵,在实际应用中的显示屏往往要大得多,这样在锁存器上花的成本将是一

39、种很庞大的数字。因此在实际应用中的显示屏几乎都不采用这种设计,而采用另一种称为动态扫描的显示措施。动态扫描的意思简朴地说就是逐行轮流点亮,这样扫描驱动电路就可以实现多行(例如16行)的同名列共用一套列驱动器,每行有一种行驱动器,具体就1616的点阵来说,把所有同一行的发光管的阳极连在一起,把所有同一列的发光管的阴极连在一起(共阳的接法),先送出相应第一行发光管亮灭的数据并锁存,然后选通第一行使其燃亮一定的时间,然后熄灭;再送出第二行的数据并锁存,然后选通第二行使其燃亮相似的时间,然后熄灭;第十六行之后,又重新燃亮第一行,反复轮回。当这样轮回的速度足够快(每秒24次以上),由于人眼的视觉暂留现象

40、,就能看到显示屏上稳定的图形了。2.3 显示数据传播方案论证采用扫描方式进行显示时,每行有一种行驱动器,各行的同名列共用一种列驱动器。显示数据一般存储在单片机的存储器中,按8位一种字节的形式顺序排放。显示时要把一行中各列的数据都传送到相应的列驱动器上去,这就存在一种显示数据传播方式的问题。从控制电路到列驱动器的数据传播可以采用并行方式或串行方式。显然,采用并行方式时,从控制电路到列驱动器的线路数量大,相应的硬件数目多。当列数诸多时,并行传播的方案是不可取的。采用串行传播的措施,控制电路可以只用一根信号线,将列数据一位一位传往列驱动器,在硬件方面无疑是十分经济的。但是,串行传播过程较长,数据按顺

41、序一位一位地输出给列驱动器。只有当一行的各列数据都已传播到位之后,这一行的各列才干并行地进行显示。这样,对于一行的显示过程就可以分解列数据准备和列数据显示两个部分。对于串行传播方式来说,列数据准备时间也许相称长,在行扫描周期拟定的状况下,留给行显示的时间就太少了,以至影响到LED的亮度。解决串行传播中列数据准备和列数据显示的时间矛盾问题,可以采用重叠解决的措施。即在显示本行各列数据的同步,准备下一行的列数据。为了达到重叠解决的目的,列数据的显示就需要具有锁存功能。通过上述分析,可以归纳出列驱动器电路应具有的重要功能。对于列数据准备来说,它应能实现串入并出的移位功能;对于列数据显示来说,应具有并

42、行锁存的功能。这样,本行已准备好的数据打入并行锁存器进行显示时,串并移位寄存器准备下一行的列数据,而不会影响本行的显示。FPGA列驱动器行驱动器LED显示点阵电源图2-1 显示屏电路框图第三章 硬件设计3.1 FPGA最小系统FPGA最小系统是可以使FPGA最小的系统。它的外围电路尽量减少,只涉及FPGA必要的控制电路。一般FPGA的最小系统重要涉及:FPGA芯片、下载电路、外部时钟、复位电路和电源。如果需要使用NIOSII软嵌入式解决器还要涉及:SDRAM和FLASH。一把以上这些组件是FPGA最小系统的构成部分。CycloneII系列EP2C5T144C8芯片框图如图3-1所示:图3-1

43、EP2C5T144C8N芯片框图3.1.1 FPGA的管脚设计FPGA的管脚重要涉及:顾客I/O(UserI/O)、配备管脚、电源、时钟及特殊应用管脚等。其中某些管脚可有多种用途,因此在设计FPGA之前,需要认真的阅读相应FPGA的芯片手册。下面以Altera公司的CycloneII系列FPGA为例,简介FPGA的功能管脚。顾客I/OI/Onum:可用作输入或输出,或者双向口,同步可作为LVDS差分对的负端。其中num表达管脚序号。配备管脚DATA: FPGA串行数据输入,连接至配备器件的串行数据输出管脚。DCLK: FPGA串行时钟输出,为配备器件提供串行时钟。nCS(I/O) : FPGA

44、片选信号输出,连接至配备器件的nCS管脚。ADSI(I/O) : FPGA串行数据输出,连接至配备器件的ADSI管脚。图3-2 FPGA配备管脚原理图特殊管脚VCCPD:用于选择驱动电压VCCSEL:用于配备控制管脚和锁相环有关的输入缓冲电压。PORSEL:上电复位选项NIOPULLUP: 用于配备控制时所使用的顾客I/O的内部上拉电阻与否工作。TEMPDIODEn/p:用于关联温度二极管。3.1.2 下载配备与调试接口电路设计FPGA是SRAM型构造,自身并不能固化程序。因此FPGA需要Flash构造的配备芯片来存储逻辑配备信息,用于进行上电配备。以Altera公司的FPGA为例,配备芯片可

45、分为串行(EPCSx系列)和并行(EPCx系列)两种。其中EPCx系列为老款配备芯片,体积较大,价格高。而EPCSx系列芯片与之相比,体积小、价格低。在把程序固化到配备芯片之前,一般先选用JTAG模式去调试程序,也就是把程序下载到FPGA芯片上运营。虽然这种方式在掉电后程序会丢失,但是充足运用了FPGA的无限擦写性。因此一般FPGA有两个下载接口:JTAG调试接口和AS(或PS)模式下载接口。所不同的是前者下载至FPGA,后者是编程配备芯片(如EPCSx),然后再配备FPGA。图3-3 JTAG模式原理图图3-4 AS模式原理图3.1.3 电源电路设计电源是整个系统可以正常运营的基本保证,如果

46、电源电路设计的不好,系统有也许不能工作,或者虽然能工作但是散热条件不好,导致系统不稳定等异常状况。因此如何选用合适的电源芯片,以及如何合理的看待电源进行布局布线,都是值得下大功夫研究的。在选用电源之前要仔细阅读FPGA的芯片手册,一般来说FPGA用到的管脚和资源多,那么所需要的电流就越大,当电路启动时FPGA的瞬间电流也比较大。通过数据手册中提供的电气参数,拟定了FPGA最大需要多大的电流才干工作。下面是几种常使用的FPGA参照电源:AS117可以提供1A电流,线性电源(合用144管脚如下,5万逻辑门如下的FPGA)AS2830(或LT1085)可以提供3A电流,线性电源(合用240管脚如下,

47、30万逻辑门如下的FPGA)TPSFPGA可以提供3A电流,开关电源(合用大规模大封装的高品位FPGA)图3-5 EP2C5T144C8N芯片电源电路图3.1.4 复位电路设计一般复位电路采用的是低电平复位,只有个别单片机采用高电平复位方式。常用的电平复位电路分为芯片复位和阻容复位。前者的复位信号比较稳定,而后者容易浮现抖动,因此在成本容许的条件下我们推荐使用芯片复位。常用的复位芯片有MAX708S/706S系列,它可以提供高下电平两种复位方式和电源检测能力(监控电源电压低到一定限度自动复位)。IMP811是一款比较低廉的复位芯片,只有低电平复位功能,但是其体积非常小。图5-6 复位电路图3.

48、2 FPGA硬件系统的设计技巧FPGA的硬件设计不同于和系统,比较灵活和自由。只要设计好专用管脚的电路,通用I/O的连接可以自己定义。因此,FPGA的电路设计中会有某些特殊的技巧可以参照3.2.1 稳压电源技术指标稳压电源的技术指标可以分为两大类:一类是特性指标,如输出电压、输出电流及电压调节范畴;另一类是质量指标,反映一种稳压电源的优劣,涉及稳定度、等效内阻(输出电阻)、波纹电压及温度系数等。对稳压电源的性能,重要有如下四个方面的规定:稳定性好 当输入电压Usr(整流、滤波输出电压)在规定范畴内变动时,输出电压Usc的变化一般规定很小。输出电阻小 负载变化时(从空载到满载),输出电压Usr应

49、基本保持不变。电压温度系数小 当环境温度变化时,会引起输出电压的漂移。良好的稳压电源,应在环境温度变化时,有效地克制输出电压的漂移,保持输出电压稳定。输出电压纹波小 所谓纹波电压,是指输出电压中50Hz或100Hz的交流分量,一般用有效值或峰值表达。3.4 列驱动电路3.4.1 74HC595芯片简介集成电路74HC595,它具有一种8位串行输入/输出或者并行输出的移位寄存器和一种8位输出锁存器的构造,并且移位寄存器的和输出锁存器的控制是各自独立的,可以实目前显示本行各列数据的同步,传送下一行的列数据,即达到重叠解决的目的。74HC595的管脚及内部构造形式如图3-5所示。它的输入侧有8个串行

50、移位寄存器,每个移位寄存器的输出都连接一种输出锁存器。引脚SER是串行数据的输入端。引脚SRCLK是移位寄存器的移位时钟脉冲,在其上升沿发生移位,并将SER的下一种数据打入最低位。移位后的各位信号出目前个移位寄存器的输出端,也就是输出锁存器的输入端。RCLK是输出锁存器的输入信号,其上升沿将移位寄存器的输出输入到输出锁存器。引脚是输出三态门的开放信号,只有当其为低电平时锁存器的输出才开放,否则为高阻态。信号是移位寄存器的清零输入端,当其为低时移位寄存器的输出所有为0,由于SRCLK和RCLK两个信号是互相独立的,因此可以做到输入串行移位与输出锁存互不干扰。芯片的输出端为QAQH,最高位QH可作

51、为多片74HC595级连应用时,向上一级的级连输出。但因QH受输出锁存器打入控制,因此还从输出锁存器前引出了QH,作为与移位寄存器完全同步的级连输出。移位寄存和输出锁存的时序波形如图3-6所示。图3-6 移位寄存和输出锁存的时序波形图表3-2引脚符号 引脚 描述 Q0Q7 15 , 1 , 7 并行数据输出 GND 8地 Q7 9 串行数据输出 MR 10 主复位(低电平) SHCP 11 移位寄存器时钟输入 STCP 12 存储寄存器时钟输入 OE 13 输出有效(低电平) DS 14 串行数据输入 VCC 16 电源 表3.3功能表输入 输出 功能 SHCP STCP OE MR DS Q

52、7 Qn L L NC MR 为低电平时紧紧影响移位寄存器 L L L L 空移位寄存器到输出寄存器 H L L Z 清空移位寄存器,并行输出为高阻状态 L H H Q6 NC 逻辑高电平移入移位寄存器状态 0 ,涉及所有的移位寄存器状态移入,例如,此前的状态 6 (内部 Q6” )出目前串行输出位。 L H NC Qn 移位寄存器的内容达到保持寄存器并从并口输出 L H Q6 Qn 移位寄存器内容移入,先前的移位寄存器的内容达到保持寄存器并输出。 H 高电平状态 L 低电平状态 上升沿 下降沿 Z 高阻 NC 无变化 无效 3.4.2 列驱动电路的构成74HC595构成的列驱动器示于图3-7

53、中。该图由两片74HC595构成16列的驱动,由16个行驱动器驱动16行。第一片列驱动器的SER端连接单片机输出的串行列显示数据,其QH端连接第二片的SER端,采用这样的措施构成两片的级连。两片相应的SRCLK、RCLK端分别并联,作为统一的串行数据移位信号、串行数据清除信号和输出锁存器输入信号。这样的构造,使得各片串行移位能把16列的显示数据依次输入到相应的移位寄存器输出端。移位过程结束之后,控制器输出RCLK打入信号,16列显示数据一起打入相应的输出锁存器。然后选通相应的行,该行的各列就按照规定进行显示。图3-7 显示驱动电路3.5 行驱动电路3.5.1 74HC154芯片简介图3.7 7

54、4HC154芯片译码器是一种多输入、多输出的组合逻辑电路。其功能是将给定的输入码组进行“翻译”,变换成相应的输入信号,对每一种也许的输入组合,使输出通道中相应的一路有信号输出,一种且仅一种输出信号为有效电位。74HC154为变量译码器,也称二进制译码器,它是一种四线十六线译码器, 译码的输入端有4个,输出端有24=16个,并有两个选通端(使能端),它的管脚形式如图3-8所示,当选通端1、2均为低电平时,译码器处在工作状态,可将地址输入端(A0A3)的二进制编码在一种相应的输出端以低电平译出。否则,译码器被严禁。3.5.2 行驱动电路原理行驱动电路相对简朴。行选通信号来源于单片机按照时序规定所给

55、出的二进制信号,每次更新行号(开始扫描新的一行)时,由单片机输出4位二进制行号,行号经4/16线译码器译码后,生成16条行选通信号线,再通过驱动器驱动相应的行线。采用译码器的方案,还可以保证同一时刻只选通一条行线,从而达到显示的稳定性。行驱动电路原理如图。行选通信号从74HC154的端输出,某一端输出低电平即为有效,而其他端输出均为高电平的信号无效。如端输出低电平,此信号传至相应的PNP型三极管Q1,此时,三极管的基极为低电平,因此,发射结正向偏置,集电结反向偏置,三极管导通,其集电极再将高电平加于LED阵列的相应的行上,即将此行选通;而同步74HC154的其他端输出高电平而致使该行相应三极管

56、截止,从而所相应LED行线不被选通。行选通按顺序从,所有各行都选通一遍之后又从新开始,这就是行驱动电路的逐行扫描过程。行信号A、B、C、D的顺序变化范畴从0000、0001、0010、至l111,来一种选通信号,行信号顺序就变化一次,其频率由扫描电路决定。由于行驱动电路一条行线上要带动16列的LED进行显示,按每一LED器件20mA电流计算,16个LED同步发光时,就需要1620=320mA的驱动电流,选用三极管8550作为驱动管可以在逻辑功能和驱动能力上符合了LED的驱动规定。3.6 点阵LED电子显示屏电路LED显示屏是将发光二极管按行按列布置的,驱动时也就按行按列驱动。在扫描驱动方式下可

57、以按行扫描按列控制,固然也可以按列扫描按行控制。LED显示屏现多采用多块8X8点阵显示单元拼接而成。本文的实验模块就是使用4块SBM1388构成1616点阵,以满足中文显示的规定。8X8 LED点阵是最基本的点阵显示模块,理解8X8 LED点阵的工作原理就可以基本掌握LED点阵显示技术。8X8点阵LED构造如图3-10所示,其等效电路如图3-11所示 图3-10 8X8点阵LED构造图图3-11 8X8点阵LED等效电路图从图中(本图的LED阵列采用共阳的接法)可以看出,8X8点阵共需要64个发光二极管构成,且每个发光二极管是放置在行线和列线的交叉点上。要实现显示图形或字体,只需考虑其显示方式

58、,通过编程控制各显示点相应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。当采用按行扫描按列控制的驱动方式时,LED显示屏8行的同名列共用一套列驱动器。行驱动器一行的行线连接到电源的一端,列驱动器一列的列线连接到电源的另一端。应用时还应在各条行线或列线上接上限流电阻。扫描中控制电路将行线的1到 8轮流接通高电位,使连接到各该行的所有LED器件接通正电源,但具体那一种LED导通,还要看它的负电源与否接通,这就是列控制的任务了。当相应的某一列置0电平,则相应的二极管就亮;反之则不亮。例如:如果想使屏幕左上角LED点亮,左下角LED熄灭的话,在扫描到第一行时,第一列的电位就应当为低,而扫描

59、到第八行时第一列的电位就应当为高。这样行线上一行一行的轮流导通,列线上进行通断控制,实现了行扫描列控制的驱动方式。第四章 系统程序的设计显示屏软件的重要功能是向屏体提供显示数据,并产生多种控制信号,使屏幕按设计的规定显示。根据软件分层次设计的原理,可把显示屏的软件系统提成两大层:第一层是底层的显示驱动程序,第二层是上层的系统应用程序。显示驱动程序负责向屏体送显示数据,并负责产生行扫描信号和其他控制信号,配合完毕LED显示屏的扫描显示工作。显示驱动程序由定期器T0中断程序实现。系统应用程序完毕系统环境设立(初始化)、显示效果解决等工作,由主程序来实现。从有助于实现语言的直观,易于记忆和检查,可读

60、性较好和使语言程序占用较少的单片机存储空间,实时解决系统可以迅速的执行指令的角度考虑,本设计中显示屏程序采用汇编语言编写。4.1 系统主程序系统的主程序开始后来,一方面是对系统环境初始化,涉及设立串口、定期器、中断和端口;然后LED显示屏进入开机状态,转入正常的显示。一方面是向上滚动显示“单片机是工业中最基本的应用方式”15个中文,每个字停留时间约1.6s;接着再向上滚动显示“我爱单片机”这5个中文。由于单片机没有停机指令,因此可以设立系统程序不断地循环执行上述显示效果。系统主程序的总体构造如图4-2所示。系统程序构造属中断方式,绝大多数功能在中断服务子程序中完毕。根据总体构造,可将程序划分为

61、几种功能化模块:串行口中断服务程序、多字滚动显示子程序、单字显示子程序、扫描程序。各个模块可进行独立设计、调试和查错,最后再连接成一种整体。这样可以便程序调用,程序整体层次清晰,构造一目了然,以便阅读。系统程序清单见附录一。开 始“上滚屏”显示效果循环扫描显示系统初始化图4-2 系统主程序的总体构造第五章 调试及仿真5.1系统开发工具简介Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、Veril-ogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完毕从设计输

62、入到硬件配备的完整PLD设计流程。Quartus II支持Altera的IP核,涉及了LPM/MegaFunction宏功能模块库,使顾客可以充足运用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使顾客可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以以便地实现多种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。5.2调试与仿真5.2.1创立工程在Quartus II 中新建一种VHDL File文献,将VHDL代码输入这个文献,并保存到工作目录,名为

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!