轴角解算旋转变压器

上传人:豆*** 文档编号:123955162 上传时间:2022-07-23 格式:DOC 页数:106 大小:8.48MB
收藏 版权申诉 举报 下载
轴角解算旋转变压器_第1页
第1页 / 共106页
轴角解算旋转变压器_第2页
第2页 / 共106页
轴角解算旋转变压器_第3页
第3页 / 共106页
资源描述:

《轴角解算旋转变压器》由会员分享,可在线阅读,更多相关《轴角解算旋转变压器(106页珍藏版)》请在装配图网上搜索。

1、学位论文原创性声明本人郑重声明:本论文所有研究工作,均在导师指引下由作者本人独立完毕。引用的有关观点、措施、数据和文献已在论文中注明,并与参照文献相应。除论文中已注明引用的内容外,本论文不涉及任何其他个人或集体已经公开刊登的研究成果。对本文研究做出任何奉献的个人和集体,均已在论文中以明确方式注明并表达感谢。学位论文作者签名:日期: 年 月 日学位论文版权使用授权声明中国舰船研究院和江苏自动化研究所有权保存本人呈交的学位论文复印件及电子版,有权向国家有关部门或机构送交论文复印件及电子版,容许论文被查阅和借阅。本人授权中国舰船研究院和江苏自动化研究所将本学位论文的所有或部分内容编入有关数据库进行检

2、索,采用影印、缩印或扫描手段保存或汇编本论文。本学位论文属于:公开内部秘密, 在 年解密后合用本授权书。(请在以上方框内打“” )学位论文作者签名: 导师签名:日期: 年 月 日 日期: 年 月 日摘要旋转变压器是一种高可靠性的角度测量传感器,具有耐冲击、耐高温、耐油污、高可靠、长寿命等长处,因此广泛地应用于雷达、坦克、地炮火控、机器人、汽车、电力、冶金、纺织、印刷等领域。旋转变压器输出为交流模拟信号,进入计算机控制系统前需进行数字化转换。老式的数据转换模块由专用集成芯片加外围电路构成,专用集成芯片分为模拟式和数字式两种。模拟式芯片精度高、可靠性好、不抖码,缺陷是跟踪速度慢、价格昂贵;数字式芯

3、片跟踪速度快、成本低,是轴角解算芯片新的发展方向。由于数字解算算法实现难度较大,国内尚无该类型芯片。针对这种状况,课题对数字式高精度轴角解算进行了进一步研究。本文的工作内容重要集中在如下几种方面:针对固定带宽无法兼顾响应速度和解算精度的问题,提出了动态带宽调节算法。该算法提高理解算精度、减小了阶跃响应时间。针对误差计算环节中正余弦值直接计算计算量大、查表法占用空间大的问题,设计了基于CORDIC算法的误差计算环节,减少了计算量、节省了存储空间。针对数字式解算抖码严重的状况,提出了动静态判断和最多值滤波的措施,有效的减少了数据抖动次数、控制了抖动的幅值。针对信号噪声采用了FIR滤波器和累加采样方

4、案,有效的减少了噪声对精度的影响。最后设计了以-AD和DSP的硬件解算系统,并对上述算法进行验证测试。验证成果显示轴角179阶跃跟踪时间为12ms、精度达到0.004、辨别率达到18位、抖码控制在1LSB。对比模拟式芯片AD2S83和数字式芯片AD2S1210的性能参数,本设计有效的提高了轴角解算的精度、辨别率和稳定性。核心词:数字化轴角解算;旋转变压器;CORDIC;系统设计;DSPAbstractResolver is a kind of highly reliable angle measurement device, which is resistant to impact, high

5、 temperature and oil. Its high-reliability and long life make it a widely use in military field and industry filed, such as radar, fire control , tanks, robotics, automotive, power, metallurgy, textile printing and so on.The output of resolver is AC analog signals, it must be converted to digital si

6、gnals before enter the computer. The shaft conversion module in tradition combines special shaft conversion chip and peripheral circuit. The special shaft conversion chip can be separate to analog mode and digital mode. Analog-mode chip has high-precision, high-stability and no data jitter, its disa

7、dvantages includes: low tracking speed, high-price. Digital-mode chip with its high tracking speed and low price give the new direction of shaft conversion. its complex algorithm, low accuracy and data jitter make it difficult to realize. In view of this situation, the subject of the digital high-pr

8、ecision axis angle solver depth study.The work of this paper focuses on the following aspects: Account the shortcoming of fixed bandwidth can not ensure the speed and accuracy at the same time, proposed a dynamic bandwidth algorithm witch improved the accuracy with a fast step response. Design a new

9、 error calculationg method based on CORDIC algorithm instead of direct calculation witch take lots of time or look up witch take largue space. For digital code solver shake severe cases, proposed the most dynamic and static judgments and median filtering method, effectively reduced the number of dat

10、a jitter, and controlled the jitter amplitude. To reduce the impact of the noise, use the FIR filter and cumulative sampling program.Finally, the algorithm was verified on the -AD and DSP-based hardware platform. The result indicate that the angle resolution reached 18, the 179step tracking time is

11、12ms, shake control in less than 2 yards. Contrast analog-mode chip AD2S83 and digital-mode chip AD2S1210,the design effectively improved the precision, resolution and the stability.Keywords:digital RDC; resolver; CORDIC; system design; DSP目次摘要IABSTRACTII目次III图目次VI表目录VIII第一章绪论11.1研究背景及意义11.2轴角转换的发展2

12、1.3论文工作与安排3第二章轴角解算原理和构造52.1轴角变换基本52.1.1旋转变压器52.1.2自整角机72.1.3数字角度表达82.1.4轴角变换误差分析92.2既有解算措施112.2.1直接解算122.2.2跟踪解算142.2.3措施对比172.3鉴幅解算的实现方案182.3.1基于AD2S83旋变信号解算182.3.2基于AD2S1210的旋变信号解算212.3.3方案对比242.4小结24第三章数字式轴角解算算法设计253.1动态二阶系统构建253.1.1矫正环节设计263.1.2数字化系统设计303.1.3动态带宽设计313.2正余弦值计算353.2.1通用算法353.2.2CO

13、RDIC算法原理353.2.3360度CORDIC算法设计373.2.4CORDIC效果分析383.3抖码解决393.3.1动静态判断403.3.2最多值滤波413.4滤波器设计433.4.1FIR滤波器443.4.2滤波器参数设计463.4.3FIR滤波器的实现473.4.4滤波器效果493.5软件框图503.6小结51第四章系统硬件实现524.1AD模块设计524.1.1AD选型524.1.2CS5361电路设计534.1.3AD调理电路544.1.4隔离电源554.2CPLD数据采集模块设计564.2.1直接采集方案564.2.2峰值采样方案574.2.3累加采集方案584.3激磁模块设

14、计614.3.1PWM波生成原理624.3.2SPWM软件实现624.3.3PWM波调制电路634.3.4PWM电源电路634.4DSP模块设计644.4.1最小系统设计644.4.2数据输出设计654.5小结67第五章测试与分析685.1调试环境685.1.1软件调试环境搭建685.1.2硬件调试环境695.2性能测试695.2.1静态特性695.2.2动态特性725.3小结74第六章总结与展望756.1总结已完毕工作756.2进一步工作的展望76道谢77参照文献78研究生在读期间刊登文章82附录83CORDIC算法83SCI接口83FIR滤波器84PWM代码84图目录图 2.1旋转变压器原

15、理图6图 2.2旋转变压器信号与角度关系图6图 2.3 SCOTT变压器7图 2.4轴角解算算法分类12图 2.5反正切法构造框图12图 2.6线性化解算基本构造13图 2.7 PT,Vo,E与角度之间的关系图14图 2.8定子接参照示意图15图 2.9鉴相解算框图15图 2.10鉴幅解算16图 2.11解算框图16图 2.12 AD2S83推荐电路18图 2.13 AD2S83原理框图19图 2.14相敏调节作用19图 2.15相敏调节电路20图 2.16 VCO电路框图20图 2.17 AD2S1210推荐电路21图 2.18缓冲电路22图 2.19 AD2S1210框图23图 3.1 D

16、SP内部模块25图 3.2增长开环零点26图 3.3加入超前校正控制环的系统框图26图 3.4 的曲线27图 3.5矫正前后幅频特性28图 3.6不同带宽下的阶跃响应(由右到左为100Hz1kHz)29图 3.7离散系统数学模型30图 3.8 500Hz带宽179阶跃响应33图 3.9阶跃相应仿真图34图 3.10 CORDIC算法基本思想36图 3.11 360度CORDIC算法跟踪图39图 3.18状态判断解决框图41图 3.19抖码状况42图 3.20滤波成果42图 3.12 AD采样数据43图 3.13噪声频谱分析44图 3.14 FIR设计框图48图 3.15数据更新方略48图 3.

17、16基于数据链表的数据更新方略49图 3.17 AD数据滤波效果图49图 3.21程序流程图50图 4.1硬件框图52图 4.2 -AD原理图53图 4.3 AD电路图54图 4.4 AD调理电路55图 4.5 J5-24S05ML电路图55图 4.6 B0505D-2W电路图56图 4.7无累加方案中CPLD内部框图57图 4.8峰值采样58图 4.9累加方案示意图58图 4.10正弦窗函数幅频曲线图59图 4.11累加方案CPLD框图60图 4.12 CPLD外围硬件构造框图61图 4.13激磁产生电路61图 4.14脉冲调制62图 4.15 PWM波调理电路63图 4.16 J16-24

18、D12MK电路图64图 4.17 TPS767D318PWP外围电路65图 4.18 JTAG接口65图 4.19 SCI接口电路图66图 4.20 DSP和CPLD通信框图66图 4.21硬件设计图67图 5.1软件调试环境68图 5.2调试台69图 5.3静态误差70图 5.4静态误差拟合71图 5.5补偿后误差图71图 5.6消抖前后数据对比72图 5.7 179阶跃响应73图 5.8 转/min跟踪响应74表目录表 2.1各数码权值表8表 2.2解算措施对比17表 2.3 AD2S1210相应参数24表 2.4性能对比24表 3.1 CORDIC解算误差39表 4.1数据采集特点对比6

19、0表 5.1静态角度输出70表 5.2抖码测试72表 5.3设计成果对比74第1章 第一章 绪论随着科技的日新月异,人类已经进入信息时代。信息的感知、采集、转换、传播和解决都必须通过传感器完毕。传感器作为信息采集的首要部件,是实现自动化、信息化的首要环节。当今的社会信息化重要依托现代信息技术传感器技术、通信技术和计算机技术三大主流技术的支撑 贾伯年,俞朴,宋爱国.传感器技术(第3版)东南大学出版社 ,由此可以懂得:传感器技术在国家工业化和社会信息化的进程中有着十分突出的地位和作用。随着科学技术的不断发展,人们对于传感器的规定也越来越高。传感器的种类越来越多,精度越来越高,体积越来越小,应用也越

20、来越广泛。甚至我们常用的手机上就有十几种传感器之多。常用的传感器有温度传感器,压力传感器,光强传感器等等。角度测量传感器作为一种重要的位置传感器,在传感器家族中有着极其重要的位置。1.1 研究背景及意义角度的测量有许多措施,例如高精度测角措施有激光干涉测量、圆光栅测量,常用的有光电编码器 黄法军, 万秋华, 杨守旺等. 光电轴角编码器测速措施现状分析与展望J. 激光与光电子学进展, , (11):27-34.- 汤天瑾,曹向群等.光电角度编码器发呈现状分析及展望.光学仪器J.,27(1):9095、磁电编码器 吕德刚.集成霍尔磁编码器的研究:D. 哈尔滨:哈尔滨工业大学,- 涂有瑞. 磁敏传感

21、器产业的现状和发展趋势.电子科技导报J.1996(10): 2838、旋转变压器等。光电编码器精度高 赵志巍, 陈赟. 一种基于金属码盘的新型绝对式光电轴角编码器J. 传感技术学报, , (5):656659.- 王显军. 光电轴角编码器细分信号误差及精度分析J. 光学精密工程, , (2).3438、使用以便 赵长海, 万秋华, 孙莹. 光电轴角编码器的误码检测系统J. 电子测量与仪器学报, , (5).1251,但它存在价格贵、怕震动、怕冲击、怕油污等缺陷,合用于精度规定较高,环境较好的场合。磁电编码器价格低、体积小、抗干扰能力强,但精度低,适合应用在环境恶劣、精度规定不高的场合。由于精度

22、高、可靠性高和强大的恶劣环境适应能力,旋转变压器广泛的应用于高精度和高可靠性的控制系统中,例如舰炮、雷达、工业控制等 徐大林,高文政. 基于FPGA的多极旋转变压器粗精数据组合双速解决器的设计与实现.测控技术J,25(5):4246。旋转变压器定子和转子相对的旋转角度为测量角度,旋转变压器转子输入激磁信号后,定子产生带有角度信号的两路正余弦同频电压。通过专门的解算电路 DU C, LIU S, ZHAO K. A DSP-Based Fast Tracking Resolver-to-Digital Conversion Method J. Control Engineering of Chi

23、na, , (3):111.(RDC),得到数字化的角度信息,送到计算机中进行下一步解决。旋转变压器的发展随着新型材料的应用和机械加工精度的完善进行改善,而解算模块的发展较为缓慢。因此解算模块的发展成为角度测量环节的决定因素。随着电子技术的发展集成工艺和角度-数字转换技术日臻完善。单片集成的RDC已达16位,例如美国AD公司的AD2S83,在抱负的旋转变压器信号下,转换误差为2 M. A. Alhamadi, M. Benammar, L. Ben-brahim. Precise Method for Linearizing Sine and Cosine Signals in Resolve

24、rs and Quadrature encoders Applications.30th Annual Conference of IEEE on Industrial Electronics Society, .(2): 19351940.。集成RDC的缺陷是成本高、灵活性差。随着数字信号解决技术的发展,特别是DSP地发展使数字式轴角解算成为也许。目前美国AD公司推出基于数字式的低成本产品AD2S1210,数字式具有跟踪速度快、成本低、灵活性高等特点。国内轴角解算芯片有连云港杰瑞电子公司的JARIRD19230和JARI10230,两款芯片都是模拟解算核,只是外围封装不同。由于数字解算算法地

25、限制,国内始终尚未浮现数字式解算的轴角转换芯片。与此同步,国内航空、航天等尖端技术领域大量采用国外R/D转换芯片。国内R/D转换芯片受既有技术基本和工艺水平地制约,产品在可靠性和环境适应性方面无法满足高品位顾客的需求。此后随着国内航空、航天的进一步发展,对R/D产品的规定将会越来越高。因此直接攻关数字式轴角解算芯片,取代国外进口产品,抵制西方国家的国防尖端技术的限制和提高国防工业的实力有着十分重要的现实意义。同步,还能发明可观的经济效益,为国家节省大量外汇,具有良好的社会效益和经济效益。1.2 轴角转换的发展轴角转换 Joo J H, Kim D H, Sim D S, et al. Desi

26、gn of a DSP controller and driver for the powerby-wire driving system with BLDC servo motor pumpC/Electrical Engineering/Electronics, Computer, Telecommunications and Information Technology (ECTI-CON), 8th International Conference on. IEEE, .(5): 573576.分布在几乎所有的控制系统中。无论是高精尖的航空、航天、武器,还是平常生活必需品的生产、工业制

27、造领域都具有十分广泛地应用。因此各个国家对轴角转换都投入大量的人力、物力,使轴角转换发展为一种较为完备的技术。在二十世纪中期,美国几家公司研制出以小规模IC、分立元器件和PCB 为基本的自整角机转换模块,标志着用于角度传感器和计算机接口之间的转换器件固态电子变换器的诞生,从而标志着角度类变换技术的开始。到二十世纪七十年代,单片 CMOS乘法型数字-模拟IC 的问世,并不久成为角度类变换技术使用的核心器件,具有代表性转换器纷纷问世,并成功地大量应用多种控制系统中,其生产工艺是IC、阻容、通孔插装组装工艺,体积较大。到八十年代,随着HIC 制造工艺水平的进步,小体积HIC 工艺组装的角度类转换器也

28、随之研制成功,它体积小、重量轻、可靠性高,广泛应用于航空、航天等军事或环境恶劣的领域。大概在八十年代中后期,由于IC 工艺技术的飞速发展,单片集成的角度类变换器RDC 被研制成功,使得其体积更小,精度更高。目前轴角解算使用专用解算芯片来进行解算。专用的解算芯片,有AD公司的模拟式AD2S83系列和数字式AD2S1210 Abou Qamar N Y. A Resolver-to-Digital Converter Design & Implementation Using A DSPJ. .(8):2331系列。芯片化后系统的可靠性有所提高。国内还是以二次集成开发为主 张琢.动态转角测量及其误

29、差检测技术的研究D.哈尔滨:哈尔滨工业大学1996,如七一六研究所生产的转换模块。由于国外掌握着专用解算芯片的核心技术 冯英翘, 万秋华, 宋超等. 光电编码器两种精码波形细分措施原理误差对比J. 红外与激光工程, , (7):22832288.- 高旭, 万秋华, 赵长海等. 莫尔条纹光电信号正交性偏差的实时补偿J. 光学精密工程, , (1):213219.,导致使用专用解算芯片的成本很高。同步模块化后系统参数将被固定 杨守旺, 梁立辉, 王树洁. 高速高可靠性光电轴角编码器设计J. 电子测量技术, , 37(9).2129,不具有灵活性 王鑫琦. 航天级光电编码器的信号解决系统设计J.

30、光学精密工程, , (8):115115.。国内芯片化的产品只有连云港杰瑞电子公司的模拟式解算芯片RD19230。国内尚无数字式AD2S1210的相应产品。随着DSP技术地发展,DSP的计算能力越来越强大。特别是其实时解决能力,在某些场合甚至超过模拟系统。采用数字化解算之后不仅能减少成本、提高系统可靠性、迅速性,同步为数字化芯片打下基本。1.3 论文工作与安排实现数字化轴角解算的难点在于数字解算算法的实现。目前数字式轴角解算算法还是以仿照模拟式解算方案为主。以AD2S1210为例,其解算方案就是将模拟系统改善为高频的数字系统。这样做的长处是可以直接继承成熟的模拟解算算法,缺陷是不可以充足发挥数

31、字解算的优势,同步会由于数字化带来某些新的挑战,其中重要问题有如下几种:1二阶解算系统带宽由辨别率控制,导致整个解算过程中带宽固定。导致解算精度与响应速度互相制约的问题。2数字实现误差计算环节使用DSP核直接计算法或查表法。前者导致计算周期长,影响解算速度;后者则需要占用大量存储空间,同步存储空间的增长随位数呈指数增长。3由于数字式解算的离散特性,解算数据抖码问题严重。例如AD2S1210,有几位的抖码。在这种状况下本课题开发一种高精度数字式轴角解算系统,谋求解决这些问题的措施。为国产化数字式轴角解算芯片的研制打下基本。课题分为如下几种内容:1)第一章 绪论。简介角度测量系统的研究背景及意义,

32、分析轴角转换模块的发呈现状,以及数字式轴角解算存在的问题。2)第二章 轴角解算原理和构造。重点研究了旋转变压器的基本原理和基本知识,对解算过程中也许浮现的误差进行详尽分析。重点研究了目前重要的解算措施,分析多种措施的特性以及工作场合。选择适于数字化实现的鉴幅解算方案,并分别以AD2S83和AD2S1210为代表简介了模拟式芯片和数字式芯片的基本原理。为课题确立了设计目的。3)第三章 数字式轴角解算算法设计。根据模拟二阶解算系统构建数字二阶解算模型,研究参数与带宽的数学关系,并依此进行动态带宽设计。对数字化二阶系统的数字式实现进行适应性改善,针对解算系统中正余弦值直接计算消耗运算资源多、查表法占

33、用空间大,设计了360度CORDIC加速算法。为了减少运算数据、提高解算精度,采用了累加数据采集、基于循环连表的FIR滤波器。针对数字式轴角解算抖码问题,提出了状态判断算法和最多值数据滤波。4)第四章 系统硬件实现。其中涉及数据采集模块设计、激磁模块设计、AD转换电路设计、DSP硬件设计以及电源模块设计。5)第五章 测试与分析。搭建系统的软硬测试平台,分别测试解算模块的动态特性与静态特性。6)第六章 总结与展望。总结已经完毕的工作,并对进一步的工作改善提出展望。第2章 第二章 轴角解算原理和构造2.1 轴角变换基本角度变换技术 Y. A. Wu. On-orbit Calibration of

34、 Inductosyn Error. Proceedings of the merican Control Conference, Baltimore, Maryland, U.S.A, , 3: 28872891.- 梁韬, 李富荣, 王玉峰. 一种基于DSP的轴角测量系统设计J. 现代电子技术, , (13).1219就是把角度测量传感器测量到的模拟信号转变为数字信号,或者把数字角度信号转变为模拟角度信号的技术。角度测量传感器种类诸多 吴益飞, 王志宏, 陈孟驰等. 基于CAN总线的一体化角位置检测装置设计与实现J. 计算机测量与控制, , (9). 8589,将多种传感器输出的模拟信号转

35、变为数字信号的措施也有诸多种。反过来将计算机输出的数字量转变为模拟量的措施也各不相似。重点研究模拟量到数字量的变换。常用的角度传感器有旋转变压器和自整角机两种。2.1.1 旋转变压器旋转变压器 吴刚, 郑敏, 姜威. 一种小型化双通道型轴角编码器的设计J. 空间电子技术, , 11(2):16747135(简称旋变)是一种输出电压幅值随转子转角变化的测量器件。当激磁绕组接通交流频率的激磁绕组时,输出绕组输出与角度的正余弦值有函数关系的交流电压,有的保持一定的比例,有的在一定的角度范畴内与转角呈线性关系。旋转变压器常用于坐标变换、三角运算和角度传播中,有事也作为两相移相器用在角度数字转换装置中。

36、按照转子转角和输出电压之间的关系,旋转变压器可以分为三大类:输出电压与转子转角成正余弦关系的称作正余弦旋转变压器;输出电压与转子转角成线性函数关系的称作线性旋转变压器,此类旋转变压器有两种构造分别为隐极式和凸极式;输出电压与转角成比例关系的称为比例式旋转变压器。本文研究的旋转变压器都是正余弦旋转变压器。工程上常用的旋转变压器为无接触式正余弦旋转变压器 艾莉, 杨恒辉. 应用旋转变压器的轴角位置信号检测J. 电子科技, , (7).3544,如Error! Reference source not found.中转子为激磁绕组,通过一组与转子互相正交的定子绕组感应电压来测量角度信息。一般采用内转

37、子接参照电压的方式。图 2.1旋转变压器原理图如图其中是激磁绕组,、是两个互相正交的定子绕组,当加上激磁后,定子绕组就会产生与角度有关的感应信号、。对于正余弦旋转变压器、数字关系如Error! Reference source not found.:图 2.2旋转变压器信号与角度关系图由图中看出转子与定子之间角度信息跟激磁信号通过旋转变压器调制之后,得到涉及绝对角度信息的正余弦耦合信号。数学关系式如下 Adv.Motion Technol.,Understanding resolvers and resolver-to-digital conversion J Catalog of Admot

38、ec, , 32(4): 19321939.: (2.1)其中U为鼓励电流的最大电压,w是鼓励电流角速度,t为时间变量。定子绕组感应电压与旋转角度关系如下 C.Attaianese and G.Tomasso,Position measurement in industrial drivesby means of low-cost resolver-to digital converter JIEEE Trans.Instrum.Meas., , 46(4): 232241: (2.2) (2.3)其中k是转子绕组和定子绕组压变比。上式中U,k,sin(wt)均是已知量。故通过测量和就得到角度

39、的信息。2.1.2 自整角机按用途不同,自整角机分为两大类:控制式自整角机;力矩式自整角机。两者仅在输出性能有所不同,但作为发送角度信号的传感器,功能完全相似。自整角机的制作构造与旋转变压器基本相似,同样是由定子和转子构成,定子绕组为三相分布的绕组,三相绕组在空间上接成间隔120的星形连接。一般状况下使用转子绕组作为激磁绕组,接入激磁信号;这样定子绕组上就会产生均匀分布的三相交流信号,信号的频率和激磁频率完全相似,信号的幅值由旋转变压器的轴角位置决定,具体的输入输出关系公式如下: (2.4) 式中=2f,f为激磁信号频率;相对于初始状态的转子转角。为激磁电压最大幅值,为压变比。自整角机输出信号

40、通过SCOTT变压器直接变为旋转变压器输出信号。SCOTT变压器构造如下:图 2.3 SCOTT变压器 对比旋转变压器和自整角机输出信号,旋转变压器输出为正余弦信号。自整角机三个绕组输出三线信号,每组信号之间相差120。而SCOTT变压器正好完毕两组信号之间的互相转换。根据公式2.4以及Error! Reference source not found.有 (2.5) (2.6)由公式(2.5)和公式(2.6)看出,自整角机信号通过SCOTT变压器转换之后变换为旋转变压器信号进入到解算系统。因此只需要研究旋转变压器的解算模块就完毕自整角机的角度解算。此外除SCOTT变压器之外尚有电子由运算放大

41、器和电阻构成的电子式SCOTT变压器,同样完毕上述运算,不再具体简介。很重要的一点,旋转变压器和自整角机信号之因此能用来表达轴角位置,是由于它们的输出信号载波幅值之间存在严格的相应关系,与轴角位置具有密切的有关性。此外,所有的信号,无论是定子还是转子、输入还是输出的,它们的载波频率相似。因此,虽然常常使用“相位角”来描述某个轴的位置,例如描述自整角机是三线信号,旋转变压器是四线的,但事实上所有载波信号都是正弦波信号,并且在某一种系统中是完全同相的。正由于如此,我们一般不特别考虑载波信号的特性(频率和时间相位关系),而只考虑与角度密切有关的载波幅度值。2.1.3 数字角度表达实际工程中,一般使用

42、二进制数码来表达角度值。数字从最高位到最低位权值依次下降。对于一种18位的角度值“1100-0000-0000-0011-00”,最高位(MSB)为最左边一位,最低位(LSB)为最右边一位。设定最高位为第1LSB,那么最低位为第16位。每位二进制码所代表的权值为.则如上数值代表的角度为:不难理解LSB代表着数字化角度的最低辨别率,各位二进制码的权值如Error! Reference source not found.所示。表 2.1各数码权值表位数(N)权值()位数(N)权值()1180100.3515625290110.1757813345120.0878906422.5130.043945

43、3511.25140.021972765.625150.010986372.8125160.005493281.40625170.002746690.703125180.00137332.1.4 轴角变换误差分析旋转变压器作为角度测量传感器,输出信号通过数字解算模块之后得到数字化的角度信息。作为控制系统中的测量系统,其精度是十分重要的性能之一。而系统综合误差决定测量角度的精度值。其误差分为原理误差、器件误差以及环境误差。其中误差归结为:幅值误差、正交误差、函数误差、激磁失真误差、相移误差以及系统跟踪误差。下面将对前四种误差进行数学分析。1.幅值误差由于旋转变压器有正余弦两路信号,两路信号在分别

44、解决的过程中,由于绕组阻值不同、信号放大倍数不同以及隔离电路阻抗不匹配等问题必然会导致正余弦信号放大幅值不同,取为余弦偏差,此时有: (2.7)由此可得由于偏差引起的角度误差如下: (2.8)简化后有: (2.9)对于该类型的误差补偿措施具体的实现环节如下:1.测量静态状况下系统测量的0到360度的静态误差;2.使用二倍角度的正弦函数拟合静态误差,得到拟合后的正弦函数幅值,该幅值即;3.通过幅值解算出的大小。到便对该类型误差进行补偿,补偿的措施有软件和硬件两种措施:其中,软件措施对采集到的正弦信号乘以补偿系数,保证正余弦幅值相似。硬件措施通过修改正余弦信号的调理电路,变化正余弦信号的放大倍数来

45、进行调节。2.正交误差旋转变压器绕组不正交,安装的轴系偏差等引起正交误差。假设余弦偏移角度为则有如下公式: (2.10)将其代入(2.31)中得到: (2.11)跟踪完毕后必有为0,由于为偏差角,近似此时得到偏差角度如下: (2.12)对于该类型的误差补偿分为两项来进行和,前一项为平均误差项,在输出角度的基本上减去即可。后一项归为幅值误差部分进行补偿。3.函数误差抱负状态下旋转变压器的气隙磁场是绝对的正弦分布,但由于生产制造的不拟定性,不也许产生一种完美的正弦磁场。从而使输出正余弦信号中参杂有各次谐波,对旋转变压器的精度产生影响,假设谐波正余弦信号有如下公式: (2.13)其中为n谐波与基波幅

46、值的比值,可知,为直流分量对基波的比值。取误差值为,将上式代入(2.31)得到: (2.14)系统完毕跟踪时,上式为0。根据实际数据,一般状况下(n为0,2,3,4)1.这样简化得到由此引起的函数误差: (2.15)减小此类误差的措施是增长滤波器,滤除信号中的杂波。4.激磁失真激磁信号作为旋转变压器的信号激磁、解算电路的解调信号。对于系统的精度有重要影响。下面对这种影响进行数学上的分析。分析激磁各个谐波对于解算精度的影响。当激磁存在谐波,且存在幅值误差时,旋转变压器输出为: (2.16)此时参照信号如下表达,的参照信号就是激磁信号,区别是激磁信号是接入旋转变压器,参照信号接入解算模块。 (2.

47、17)将(2.16)、(2.17)代入公式(2.31)得到: (2.18)该电压为初始误差电压,需要在通过参照信号解调之后生成误差电压: (2.19)跟踪完毕后有上式为0,且有当,较小,有: (2.20)上式看出,当不存在幅值误差时,激磁失真 Leroux P, Van Koeckhoven W, Verbeeck J, et al. Design of a MGy radiation tolerant resolver-to-digital convertor IC for remotely operated maintenance in harsh environmentsJ. Fusio

48、n Engineering and Design, ,23(2):546551不会给角度运算带来误差。实际系统中由于系统设计都是针对正常工作频率进行分析设计。当有高次谐波存在时,高次谐波的幅值不可以保证像正常工作频率的幅值匹配,从而引起如上误差。因此消除激磁失真误差的措施有减小幅值误差、增长滤波器滤除谐波信号。看到函数误差和激磁失真误差的体现式比较复杂,使用硬件消除的措施比较好。2.2 既有解算措施由正余弦旋转变压器的基本原理懂得,当输入偏转角度不同步,旋转变压器会输出两路模拟信号,模拟信号需要通过解算变为数字信号。轴角解算的措施有诸多种,如Error! Reference source no

49、t found.,根据解算构造分为直接解算和跟踪解算。直接解算方案运用角度信息直接得到需要解算的角度,例如反正切法、线性化法。跟踪解算方案则用闭环角度对比构造进行数字解算,例如鉴幅措施、鉴相措施。图 2.4轴角解算算法分类2.2.1 直接解算1)反正切法反正切法 LI S, WU S. New Speed Determination Method Based on ResolverJ. Electric Drive, , 8(5): 7891.是实现轴角解算最简朴的措施,Error! Reference source not found.所示为反正切措施实现的基本方案。图 2.5反正切法构造框

50、图角度信号和通过AD采样后通过带通滤波滤除采样噪声之后,直接进行反正切运算即可得到角度值。具体公式如下: (2.21)实现该方案难点有如下几种:1保证正余弦信号在同一时刻进行采样;2.尽量在峰值点附近进行采样;3保证AD采样数据尽量少的噪声。这种措施运算速度快、精度低、抗干扰能力差,多用于对噪声和精度规定不高的场合。2)线性化法Moheddine Benammar等人Error! Bookmark not defined. Error! Bookmark not defined.提出一种新型的轴角转换算法Mohieddine Benammar, Lazhar Ben-Brahim, andMo

51、hd A. Alhamadi, A novel resolverto-360linearized converter, IEEE Sensors Journal, , 4(1) 96101. Error! Bookmark not defined.。这种算法基本思想如下Error! Reference source not found.。该算法的基本思想是通过计算正余弦信号绝对值的差值,建立有关角度的线性化框架。再通过谐波分析设计补偿器进行补偿,达到一种较高的精度范畴。公式如下: (2.22)其中是一次线性化后的角度和电压的相应函数值。图 2.6线性化解算基本构造这个函数并不具有绝对的线性,在

52、四分之一种周期内它的线性化误差为0.042。相称于1.9的误差。假设绝对线性化的函数为,对两者进行傅立叶变化有 (2.23) (2.24)看到两者已经十分相近,只是系数略有不同。取为误差项有 (2.25) (2.26)看到理论上Vo已经具有较好的线性度,但要满足高精度的规定必须补偿误差E。Moheddine Benammar以及她的团队做出许多努力。提出许多这种线性化角度测量框架Error! Bookmark not defined.,使这种测量措施会输出一种和输入相移角度成正比的电压。并由模拟器件搭建一种测量系统,进行电脑仿真,证明该措施的对的性 Mohd A. Avlamadi, M. B

53、enammar and L. BenBrahim, Precise method for linearizing sine andcosine signals in resolvers and quadrature encodersapplications, in Proceedings of the 30th AnnualConference of the IEEE Industrial ElectronicsSociety, Busan, Korea, ,11(6). 19351940.。再后来又将该原理应用到信号解决的信号解调方面 Mohieddine Benammar, Lazhar

54、Ben-Brahim, andMohd A. Alhamadi, A high precision resolver-to-DC converter, IEEE Transactions onInstrumentation and Measurement, ,54(6) 22892296, December, ,之后又通过查表算法 Mohieddine Benammar, Lazhar Ben-Brahim,Mohd. A. Alhamadi and Mohamed El-Naimi,A novel converter for sinusoidal encoders, inProceeding

55、s of The IEEE Sensors Conference, Daegu, Korea, ,10(22), 14151418.、闭环构造 Lazhar Ben-Brahim and Mohieddine Benammar, Anew PLL method for resolvers, in Proceedings ofthe IEEE International Power ElectronicsConference, , 44(7),299305.进一步对该措施进行改善。并设计一系列的低成本产品 M. Benammar, A novel amplitude-to-phaseconver

56、ter for sine/cosine position transducers,International Journal of Electronics, ,9(4),353365.。类似的研究尚有一种分段线性化的措施,即变化(2.18)中正余弦绝对值的系数,将其分段化,这样使其逼近。图 2.7 PT,Vo,E与角度之间的关系图该方案以很低的成本获得绝对角度信息,缺陷是要想获得更高精度的角度值解算成本会大幅上升。因此该措施只合用于对精度规定较低的场合。2.2.2 跟踪解算1)鉴相法鉴相法 刘逸飞, 朱新华, 段修生等. 基于ARM9的轴角测量系统设计J. 计算机测量与控制, , (9):.就

57、是通过输出信号相位来解算旋转角度信息。对旋转变压器的定子绕组加上激磁,旋转变压器转子就会产生携带角度信号的载波输出。这是一种定子接参照的构造 Mohieddine Benammar,Antonio S.P.Gonzales Jr.A Novel Resolver Converter Based on a Modified Tracking Method C.in Networking,Sensing and Control (ICNSC), 10(23),234244 ,如Error! Reference source not found.。图 2.8定子接参照示意图该方式需要一对正余弦作参照

58、,分别连接在定子两个正交的电枢上。这样通过改善旋转变压器接入,把角度解算变为一种锁相环。由于目前锁相环算法的设计方案已经非常成熟。解码进行数字化计算也比较简朴。如图有: (2.27) (2.28)这样转子绕组就会产生一种和有关的信号,如下: (2.29)算法的基本思想同样是构建一种闭环系统,用估计值跟踪实际值。这种措施需要的鼓励信号除(2.27)(2.28)外,还需要一种与其同步的锯齿波: (2.30)图 2.9鉴相解算框图根据图2.9可得到P点的数学体现是为: (2.31)P点信号通过滤波环节之后得到直流误差信号,误差信号通过进一步的比例和积分环节便得到角度信号。这种算法大大精简解算电路的构

59、造,实验证明该算法中的正弦函数发生器改为一种窗函数。这样更大限度的减少电路的成本,提高运算的速度。该算法的长处是解算方案成熟,借鉴许多既有的相位跟踪技术就实现角度的解算。缺陷是该方案对于激磁信号的相位规定比较精确,虽然较小的相位偏差也会对解算角度产生影响。此外该方案解算比较适合纯模拟电路,解算出的角度还需要AD转换才可以进入到计算机系统。2)鉴幅法鉴幅解算是通过输出信号的幅值来解算角度信息。鉴幅解算方案是目前使用最多的结算方案,旋转变压器的连接方式如Error! Reference source not found.。图 2.10鉴幅解算鉴幅解算方式是以旋转变压器转子作为激磁信号的输入,在两个互相垂直的定子中产生与输入角度正余弦幅值调制的角度信号,该信号为 (2.32) 解算框图如Error! Reference source not found.:图 2.11解算框图式中为目前自整角机/旋转变压器的角度量,Uk为旋转变压器输出电压。数字角送至误差检测电路,得: (2.33)这些信号在误差检测电路中相乘然后相减,就会得到: (2.3

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!