音频放大器的设计

上传人:时间****91 文档编号:123727719 上传时间:2022-07-23 格式:DOCX 页数:22 大小:405.52KB
收藏 版权申诉 举报 下载
音频放大器的设计_第1页
第1页 / 共22页
音频放大器的设计_第2页
第2页 / 共22页
音频放大器的设计_第3页
第3页 / 共22页
资源描述:

《音频放大器的设计》由会员分享,可在线阅读,更多相关《音频放大器的设计(22页珍藏版)》请在装配图网上搜索。

1、 四川师范大学成都学院电路与电子技术课程设计数字音频放大器的设计 学生姓名学 号所在学院通信工程学院专业名称通信工程班 级指引教师成 绩 四川师范大学成都学院二一四年十二月课程设计任务书学生姓名学生学号2学生专业通信工程学生班级指引教师职 称发题日期 年月日完毕日期 年月日设计题目数字音频放大器的设计设计目的:1. 理解音频放大器工作原理2. 掌握电路设计和重要参数测试措施3. 掌握oscilloscope软件的基本操作具体任务及规定:1. 规定:采用D类音频放大电路,前置电路通频带为20Hz20KHz,振荡器频率不小于200KHz,采用LC低通滤波器2. 根据设计规定对电子元器件进行选择3.

2、 根据放大器工作原理并结合选择的电子元件在Quartus软件上进行仿真并对其成果进行模拟测试课程设计进度安排:序号内容安排时间1选题10月16日2收集资料10月17日-10月25日3完毕草稿10月26日-11月30日4完毕修改稿12月1日-12月19日5完毕定稿12月20日-12月25日课程设计参照文献:1 康光华:电子技术基本数字部分(第五版),高等教育出版社,.1 2 康光华:电子技术基本模拟部分(第五版),高等教育出版社,.13 马建国:电子系统设计(第一版),高等教育出版社,.14 焦春生:新型绿色能效D类音频放大器设计应用,现代电子技术,.115 孙余凯、项绮明:模拟集成电路基本与应

3、用,电子工业出版社,.4指引教师签字院长审核签字数字音频放大器的设计内容摘要:数字音频放大器是将输入音频模拟信号或PCM数字信息变换成PWM或PDM的脉冲信号用来控制大功率开关电路,通过低通滤波器整形实现数字信号的放大输出。数字音頻放大器也看上去成是一种一比特的功率数模变换器。放大器由由三角波振荡器、前置放大电路、PWM比较器、驱动电路、功率放大电路和低通滤波器电路构成。输入信号形成电路分PWM解决器和PDM解决两种,将输入信号的振幅变化变换成脉冲宽度的变化或脉冲密度的变化。低通滤波器的作用是将脉冲波形整形成美丽的模拟波形,即滤除PWM或PDM信号的载波成分。常采用功率损耗小的LC型滤波器。本

4、设计简介了数字音频放大器的构成及原理,然后用Quartus软件进行仿真和模拟,用以验证明验。核心词:PWM调制低通滤波 数字音频The design of digital audio amplifierAbstract:Digital audio amplifier is an analog input audio signal or the PCM digital information into a PWM or PDM pulse signal for controlling the power switching circuit, low-pass digital filter sha

5、ping to achieve an amplified output signal. Also appears as a digital audio amplifier is a one bit digital to analog converter power. Amplifier by the triangular wave oscillator, preamplifier circuit, PWM comparator, the driving circuit, power amplifier and a low pass filter circuit.Input signal for

6、ming circuit of two PWM processor and sub-processor PDM, the amplitude of the input signal is converted into a variation or change in the pulse density of the pulse width changes.Low-pass filter is shaped to the pulse waveform beautiful analog waveform, i.e. the carrier component was filtered PWM or

7、 PDM signal. Often with a small power loss LC filter.This design introduces the constitution and the principles of digital audio amplifier, and then use Quartus software simulation and modeling to verify the experiment.Keywords:PWM modulation Low-pass filtering Digital audio目 录前 言11 数字音频放大器的特点21.1 过

8、载能力与功率储藏21.2 功放的失真度比较22 数字音频放大器的原理32.1 数字音频放大器工作原理图32.2 数字音频放大器的构成42.2.1 三角波振荡器42.2.2 前置放大电路52.2.3 PWM比较器62.2.4 驱动电路82.2.5 功率放大电路82.2.6 低通滤波器电路92.2.7 电源模块103 系统仿真及问题分析104 结束语11附 录13附录1:芯片参照资料13附录2:芯片管脚图14附录3:电路原理图15参照文献16数字音频放大器的设计前 言音频放大器发展至今也有近一种世纪的历史了,时至今日音频放大器仍在不断的发展更新。随着音频市场的发展,近几年数字市(互联网、数字网络、

9、无线数字通信)场也发生巨大的变化,多种数字音源相继浮现(如MP3、Mini-Disk、DVD等)。现目前的便携式电子设备发展迅猛,从通信功能的移动手机到娱乐功能的MP3均有数字音频,也需要用到数字音频放大器。随着半导体器件的浮现和发展,放大器的设计得到了更多的自由。就放大器的类别而言,已不限于A类、B类和AB类,而浮现了更多类别的放大器。D类放大器孕育而生,此类放大器特点是断续地转换器件的开通,其频率超过音频,可控制信号的占空比以使它的平均值能代表音频信号的瞬时电平,这种状况被称为脉宽调制(PWM)。而纯数字音频放大器是基于PCM数字的数字解决技术,这些数据来自CD、DVD、Mini-Disk

10、、HDTV、数字卫星广播、数字音频磁带播放机、MP3播放机、家庭网络、本地网以及从互联网上下载的音频信息等数字源。不经数/模或模/数转换,线性编码音频PCM(采样率是32192KHz)信号可被直接重射进强电流PWM脉冲内,然后送到扬声器,其间只有一种一般无源滤波器。对于小型产品,数字放大器除了提供极高质量的音频信号外,还具有功能高、体积小、重量轻、散热少等长处。高功能意味着可延长电池寿命,并使产品的体积最小、重量最轻,由于不需要散热片,这种小型化可以实现。此外由于所需的功率只比提供应负载的稍微多一点,故电源也可更小。总的来说,机壳会更小,构造上也不用考虑太多散热问题,设计更加灵活了。最佳内部构

11、造可符合EMI规定且不再需要特殊屏蔽。音频信号曲线目前已从模拟完全转向数字了。因此,数字放大器也会与PC或其他数字设备同样在相对较短的时间内有巨大的变化。消费者可以估计这种放大器会成为具有对解码、3D、均衡及音量、音调控制等进行数字音频解决能力的单一、完整器件。1 数字音频放大器的特点1.1 过载能力与功率储藏 数字音频电路的过载能力远远高于模拟电路。模拟放大电路分为A类、B类或AB类功率放大电路,正常工作时功放管工作在线性区;当过载后,功放管工作在饱和区,浮现谐波失真,失真限度呈指数级增长,音质迅速变坏。而数字放大在功率放大时始终处在饱和区和截止区,只要功放管不损坏,失真度不会迅速增长,如下

12、图1-1所示。 图1-1 数字音频放大器原理框图由于数字音频电路采用开关放大电路,效率极高,可达75%90%(模拟功放效率仅为30%50%),在工作时基本不发热。因此它没有模拟电路的静态电流消耗,所有能量几乎都是为音频输出而储藏,加之前后无模拟放大、无负反馈的牵制,故具有更好的“动力”特性,瞬态响应好,“爆棚感”极强。1.2 功放的失真度比较晶体管在小电流时的非线性特性会引起模拟功放在输出波形正负交叉处的失真(小信号时的晶体管会工作在截止区,此时无电流通过,导致输出严重失真)称为交越失真,交越失真是模拟功放天生的缺陷;而数字功放只工作在开关状态,不会产生交越失真。模拟功放存在推挽对管特性不一致

13、而导致输出波形上下不对称的失配失真,因此在设计推挽放大电路时,对功放管的规定非常严格,虽然如此也未必可以做到完全对称。而数字功放对开关管的配对无特殊规定,不必严格匹配;模拟功放为保证其电声指标,几乎无一例外都采用负反馈电路,在负反馈电路中,为克制寄生振荡,采用相位补偿电路,从而会产生瞬态互调失真。数字功放在功率转换上不必反馈电路,从而避免了瞬态互调失真。1.3 高效率性由于数字放大电路采用开关放大电路,效率极高,可达75%95%(模拟功放一般仅为30%50%,甚至更低),在工作时发热量非常小。功率器件均工作在开关状态,因此它基本上没有模拟功放的静态电流损耗,所有能量几乎都是为音频输出而储藏,并

14、且瞬态响应好。2 数字音频放大器的原理本文中的数字音频放大器是将音频模拟信号与三角波信号比较变换成PWM脉冲方波信号用来控制大功率开关电路,通过低通滤波器的滤波整形实现信号的放大输出。数字音频放大器采用脉冲宽度调制PWM 系统和开关电源供电音频信号。所有信息被调制在PWM 信号的宽度变化中,功率管工作在饱和、截止两种状态,失真小、效率高。其工作原理是将模拟音频信号经PWM 设备调制成数字信号;然后高效功率放大、低频滤波;解调信号后,驱动扬声器。2.1 数字音频放大器工作原理图前置放大电路PWM比较器三角波振荡器音频输入信号功率放大电 路低通滤波电路驱动电路 输出 图2-1 数字音频放大器原理框

15、图图2-2 工作波形示意图图2-1为数字音频放大器原理框图,涉及两个部分。第一部分是脉宽调制部分,输入的音频信号经电压放大后,与固定频率的三角波相比较,比较器输出宽度被调制的高、低电平,并放大成合适的电压值。第二部分是功率放大部分,被放大后的PWM信号通过驱动电路控制功率放大电路,经低通滤波器滤波后,进行输出。图2-2为工作波形示意图,其中(a)为输入信号;(b)为锯齿波与输入信号进行比较的波形;(c)为调制器输出的脉冲(调宽脉冲);(d)为功率放大器放大后的调宽脉冲;(e)为低通滤波后的放大信号。2.2 数字音频放大器的构成数字音频放大器由三角波振荡器、前置放大电路、PWM比较器、驱动电路、

16、功率放大电路和低通滤波器电路构成。接下来我将一一简介数字音频放大器各部分的构成。2.2.1 三角波振荡器三角波发生电路如图2-3所示,三角波是对输入音频信号进行抽样的载波,对此有两方面的规定:其一,调制后的信号可以被完整地恢复。根据Nyquist 采样定理,三角波的频率至少是音频信号最高频率的两倍,人类听到的声频范畴是20 Hz20kHz ,阐明三角波的频率应在40 kHz 以上。为保证音频信号的采样本设计采用三角波的频率为200 kHz ;其二,三角波要有稳定的频率和幅度,否则,调制后的脉宽会产生变形,从而减少音频输出的信噪比,音质变差,噪声增大。在高频的状况下,产生频率、幅度稳定的三角波,

17、对一般的波形发生器来说很难实现。在此,我们采用德州仪器生产的SN74HC14N芯片,该芯片为施密特触发器,其输入电压为26V。它能将输入的正弦波变换成方波,然后经NE5532A芯片积分,以产生频率200KHZ,幅值2V的三角波。在仿真过程中,经测,输出波形频率可达500kHZ,如图2-4所示。三角波的幅值为: (2-1)三角波的频率为: (2-2)图2-3三角波振荡电路图2-4三角波波形模拟图2.2.2 前置放大电路前置放大器(又称电压放大器、控制放大器)的作用是对它的输入多种音频节目源信号进行选择和放大,并调节输入信号的频响、幅度等,以美化音质。功率放大器则是将前置放大器送来的信号进行无失真

18、的单纯功率放大,以推动扬声器放音。前置放大器和功率放大器可以独立装成两台机器,也可以组装在一台机器内。组装在一起的称为综合功率放大器或综合放大器港台或市场上则称为合并式功放,而把分开做成两台机器的有时又称为前级和后级功放。前置放大器电路采用德州仪器生产的NE55532A芯片,其工作频率可达10MHZ,工作电压为3V20V,其放大倍数大、功耗低,且自带保护电路,因此外围电路少。前置放大器电路如图2-5所示。音频输入及输出均加上滤波电容,以减少电路的失真度。规定输入电阻不小于10K,因此选用22K的电阻。即输入电阻满足规定。前置放大输出波形如下图2-6。图2-5前置放大器电路我们选择同相放大器的目

19、的是容易实现输入电阻R110k,满足输入电阻越大越好的规定。取V+=Vcc/2=2.5V,输入电阻R1不小于10k,故取R1=R2=51k,反馈电阻采用电位器R4,取R4=20k,反相端电阻R3 取2.4k,则前置放大器的最大增益Av为 Av =1 + R4/R3 = 1+ 20/2.4 9.3 (2-3)图2-6前置放大输出波形2.2.3 PWM比较器PWM调制器:把原始音频信号加上一定直流偏置后送到运放的正输入端,另通过自激震荡产生一种三角波加到运放的负输入端。当正端上的电位高于负端三角波时,比较器输出为高电平,反之则输出低电平。若音频输入信号为零,直流偏置三角波峰值的1/2,则比较器输出

20、的高下电平持续的时间同样,输出就是一种占空比为1:1的方波,当有音频信号输入时,正半周期间,比较器输出高电平的时间比低电平长,方波的占空比不小于1:1;负半周期间,由于尚有直流偏置,因此比较器正输入端的电平还是不小于零,但音频信号幅度高于三角波幅度的时间却大为减少,方波占空比不不小于1:1。这样,比较器输出的波形就是一种脉冲宽度被音频信号幅度调制后的波形,称为PWM(脉宽调制)或PDM(脉冲持续时间调制)。音频信息被调制到脉冲波形中。图2-7 PWM比较器电路图2-8 PWM输出模拟波形音频信号经前置放大器放大后,与三角波相比较,输出PWM方波。规定三角波信号的频率在200KHZ,幅值4V左右

21、,与之相比较的音频信号频率20HZ20KHZ可调。因此,PWM比较电路的工作频率应能达到200KHZ。由于输出端是集电极开路构造,因此必须加上拉电阻。因供电为5V单电源,为给V+=V-提供2.5V的静态电位,取R12=R15,R13=R14,4个电阻均取10 k。由于三角波Vp-p=2.5V,因此规定音频信号的Vp-p不能不小于2V,否则会使功放产生失真。电路如图2-7所示。本次设计选用的是德州仪器的LM393芯片,该芯片为双电压比较器集成电路,具有工作电压范畴宽,单电源、双电源均可工作,消耗电流小,输入失调电压小,共模输入电压范畴宽,输出与TTL,MOS,CMOS兼容,比较性能非常好,输出波

22、形完美等特点。输入的三角波Vp-p为1-5V,因此规定放大之后的音频信号的Vp-p不能超过5V,否者会使功放产生失真。该电路供电为5V双电源,输出为幅值5V的方波信号,如图2-8所示。2.2.4 驱动电路驱动电路重要完毕两个功能:(1)将 PWM 信号整形变换成互补对称的输出驱动信号;(2)通过门电路的串并完毕延时与后级电路的驱动。驱动电路电路图如下图2-9所示:图2-9 驱动电路2.2.5 功率放大电路图2-10功率放大电路功率放大电路是一种以输出较大功率为目的的放大电路。它一般直接驱动负载,带载能力要强。四个场效应管驱动一大电流进入低阻抗感性负载,场效应管轮流成对导通,当一对导通时另一对就

23、截止;为了避免两对场效应管同步处在导通或截止状态,电路应当保证一对场效应管导通和另一对场效应管截止不会重叠,这就规定从前面的驱动电路出来的信号上升下降时间很短。受调制的方波总是使功率开关管尽量快的变化状态,缩短了场效应管工作在线性工作区的时间,使效率大大提高。由于MOS在导通和截止的时候,一定不是在瞬间完毕的。MOS两端的电压有一种下降的过程,流过的电流有一种上升的过程,在这段时间内,MOS管的损失是电压和电流的乘积,叫做开关损失。一般开关损失比导通损失大得多,并且开关频率越快,损失也越大。导通瞬间电压和电流的乘积很大,导致的损失也就很大。缩短开关时间,可以减小每次导通时的损失;减少开关频率,

24、可以减小单位时间内的开关次数。这两种措施都可以减小开关损失。功率放大电路如图2-10所示。2.2.6 低通滤波器电路最后一级需把大功率PWM波形中的声音信息还原出来。措施很简朴,只需要用一种低通滤波器。但由于此时电流很大,RC构造的低通滤波器电阻会耗能,不能采用,必须使用LC低通滤波器。当占空比不小于1:1的脉冲到来时,C的充电时间不小于放电时间,输出电平上升;窄脉冲到来时,放电时间长,输出电平下降,正好与原音频信号的幅度变化相一致,因此原音频信号被恢复出来。滤波器的作用是滤除载波,使输入的音频信号完全通过。因此设计滤波器要使20到20kHz的通频带尽量平坦,150k的载波要衰减尽量大。在这里

25、使用的是四阶巴特洛斯滤波器,它具有高频衰减快的长处,通过软件模拟后,最后拟定C11uF,C20.68uF,L122uH,L247uH。电路图如图2-11所示。图2-11低通滤波器电路2.2.7 电源模块图2-12电源模块电路我们这次设计的数字功放所有模块都采用5V电源供电,因此电源一块设计起来比较简朴,我们就采用集成稳压块L7805CV。L7800系列输出电流为1.5A,这足够满足我们的规定,无需再去扩流,电路图如图2-12所示。3 系统仿真及问题分析下面就仿真过程中遇到的某些问题及解决方案总结一下。在仿真之前对于仿真用的电路芯片有两种方案并对其进行了分析:方案一:采用NE555芯片,运用其典

26、型电路产生三角波。音频前置放大电路采用NE5532A芯片,PWM比较器采用393PH-桥电路、低通滤波电路采用分立元件构成。该方案中三角波波形精确,采用分立元件构成的电路工作性能好,但不好NE553产生300kHz的三角波,并且分立元件构成的电路难以调试。故不采用该方案。方案二:采用SN74HC14N产生方波,再经NE5532A芯片对方波积分产生三角波;音频前置放大电路采用NE5532A对音频进行放大解决,解决后的音频信号与三角波进行PWM调制。PWM调制采用德州仪器生产的LM393芯片,该芯片为双电压比较器,采用单电源供电,且合用范畴广;PWM波放大电路采用德州仪器的NE5532A芯片;H-

27、桥电路采用双场效应管对管;滤波电路采用LC低通滤波器。整个电路采用220V交流电经变压器供电,为不同的芯片提供不同的电压输出。该方案普遍采用高效率、低功耗、发热小、失真度小的芯片构成电路。故能满足D类功放设计中的高效率、低失真度的规定,本设计采用此方案。在开始选定原理图后,由于原理图中的运放型号在仿真软件中没有,最后还是找不到的采用更换电路和器件的措施,使仿真顺利进行。例如在仿真三角波时,使用施密特触发器产生方波,然后用积分电路将方波转换成三角波。给比较器电路加入了原则的正弦波和三角波后,输出的是很原则的PWM,但在实测中,PWM中的方波就像原理中的记录波形同样,存在好多毛刺,仿佛被什么干扰似

28、的,最后查阅了诸多资料后,才懂得了是高频信号的干扰,这个干扰很奇怪,甚至干扰到了输入信号,输入的信号都不再是原则的正弦波。这一问题采用两种方案解决。第一,从电源一块去解决,发现干扰信号是通过电源线进行的。因此,应当将数字地与模拟地分开,减小互相之间的干扰,采用电源一点供电,然后在支路供电中所有串联一种比较大的电感;第二,通过电容的作用减小干扰。一方面,给电源与地之间跨接一种比较大的电容,起到一种滤除纹波效果,另一方面是给每个集成芯片的正电源与地之间接入一种0.01uF0.1uF的瓷片电容,起到一种减小干扰的作用。这部分的解决方案重要在绘制PCB板的时候加上去,并且严格地将数字部分和模拟部分分开

29、,减小电流环路的面积。在测试驱动电路时,发现信号通过与门延时后,方波波形有所衰减,换了一种与门芯片后就不存在这个问题了。4 结束语学习模电数电以来,只理解了某些理论上的概念及分析措施,因此通过本次数字音频放大电路的设计,复习模电和数电,去应用理论并加深理解,学会分析问题,解决问题,并从中学些解决问题的经验。但是本次设计还存在大量的问题尚未解决,有待在背面的学习与生活中进一步的将其改善。重要问题有如下几点:a. 对仿真软件、器件使用不纯熟; b. 器件的使用不是完全合理; c. 基本措施掌握不好; d. 单薄信号解决任然有缺陷; e. 做高频仿真和简朴电路还可以,当放在一起是就无法实现。在本次设

30、计过程中我学到了不少的东西。一方面是学会独立思考,发挥创新思维,做有创意的东西;然后复习了使用Quartus软件,对仿真有了进一步的结识;这也是我第一次做高频电路设计,有一定难度,对自己是一次挑战。但愿在后来的学习中,可以学到更多的知识,增长自己的能力,在后来的设计中做的更好。附 录附录1:芯片参照资料NE5532特点简介: 小信号带宽:10MHZ 输出驱动能力:600,10V有效值 输入噪声电压:5nV/Hz(典型值) 直流 电压增益:50000 交流电压增益:2200-10KHZ 功率带宽: 140KHZ 转换速率: 9V/s 大的电源电压范畴:3V-20V 单位增益补偿LM393重要特点

31、如下:工作电源电压范畴宽,单电源、双电源均可工作单电源:236V,双电源:118V消耗电流小,Icc=0.8mA输入失调电压小,VIO=2mV共模输入电压范畴宽,Vic=0Vcc-1.5V输出与TTL,DTL,MOS,CMOS 等兼容输出可以用开路集电极连接“或”门附录2:芯片管脚图NE5532内部电路图NE5532 8脚引脚图 NE5532 16脚封装引脚功能图附录3:电路原理图参照文献1 焦春生:新型绿色能效D类音频放大器设计应用,现代电子技术,.11,P23-P362 康光华:电子技术基本数字部分(第五版),高等教育出版社,.1,P11-P383 孙余凯、项绮明:模拟集成电路基本与应用,电子工业出版社,.4,P45-P744 康光华:电子技术基本模拟部分(第五版),高等教育出版社,.1,P52-P685 马建国:电子系统设计(第一版),高等教育出版社,.1,P39-P50

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!