第8章逻辑电路习题解答

上传人:痛*** 文档编号:120644671 上传时间:2022-07-18 格式:DOC 页数:16 大小:2.78MB
收藏 版权申诉 举报 下载
第8章逻辑电路习题解答_第1页
第1页 / 共16页
第8章逻辑电路习题解答_第2页
第2页 / 共16页
第8章逻辑电路习题解答_第3页
第3页 / 共16页
资源描述:

《第8章逻辑电路习题解答》由会员分享,可在线阅读,更多相关《第8章逻辑电路习题解答(16页珍藏版)》请在装配图网上搜索。

1、【精品文档】如有侵权,请联系网站删除,仅供学习与交流第8章 逻辑电路 习题解答.精品文档.第八章习题参考答案8-1 对应图8-47所示的各种情况,分别画出F的波形。 a) b)图 c) d)图8-47 题8-1图解 各输出F的波形如题8=1解图所示。(a) (b)(c) (d)题8=1解图8-2 如果“与”门的两个输入端中,A为信号输入端,B为控制端。设A的信号波形如图8-48所示,当控制端B=1和B=0两种状态时,试画出输出波形。如果是“与非”门、“或”门、“或非”门则又如何?分别画出输出波形,最后总结上述四种门电路的控制作用。图8-48 题8-2图解 各种门电路的输出波形如图54所示。图5

2、4 习题52的解图与 门与非门或 门或非门它们的控制作用分别为:(1) 与门:控制端B为高电平时,输出为A信号; 控制端B为低电平时,输出为低电平。(2) 与非门:控制端B为高电平时,输出为信号; 控制端B为低电平时,输出为高电平。(3) 或门:控制端B为高电平时,输出为高电平; 控制端B为低电平时,输出为A信号。(4) 或非门:控制端B为高电平时,输出为低电平; 控制端B为低电平时,输出为信号。8-3 对应图8-49所示的电路及输入信号波形,分别画出F1、F2、F3、F4的波形。a) b) c) d) e)图8-49 题8-3图解 各电路的输出波形题8-3解图所示。(a)(b) (c)(d)

3、 题8-3解图8-4 化简下列逻辑函数(方法不限) 1) 2) 3) 4)解 1)(反复利用吸收率)2)(合并同类项)或3)再利用卡诺图,如题8-4解图(a)所示。题8-4解图(a)或 4)8-5 证明下列逻辑恒等式(方法不限)。 1) 2) 3) 4) 5)证明:1) 2) 3) 4) 5)8-6 用卡诺图化简法将下列函数化为最简“与或”形式。 1) 2) 3) 4) 5) 6) 7) 8) 9) 10)解 1) 2) 题8-6-1)卡诺图 题8-6-2)卡诺图3) F1 4)题8-6-3)卡诺图 题8-6-4)卡诺图5) 6)题8-6-5)卡诺图 题8-6-6)卡诺图7) 8)题8-6-7

4、)卡诺图 题8-6-8)卡诺图9) 10)题8-6-9)卡诺图 题8-6-10)卡诺图8-7 写出图8-50所示各电路的最简逻辑函数表达式。图 a) b) 图8-50 题8-7图解:(a)各门输出端逻辑表达式分别为(a) (b)题8-7中各门输出端表示图 题8-7(a)的卡诺图利用卡诺图化简上式,见图59,得(b)各门输出端逻辑表达式为8-8 写出图8-51所示各电路的最简“与-或”表达式,列出真值表并说明各电路的逻辑功能。解 设电路中各门的输出端表示如题8-8中各门输出端表示图所示。(a)写出逻辑表达式并化简:(a) (b)图8-51题8-8图题8-8中各门输出端表示图(a) (b)题8-8

5、(a)的真值表A BF0 00 11 01 10110B列写逻辑真值表见题8-8(a)的真值表。该电路的逻辑功能为“异或”:当输入A、B相同时,输出F为0;当输入A、B相异时,输出F为1。(b)写出逻辑表达式并化简: (已是最简逻辑表达式)用卡诺图化简F1: 题8-8(b)的卡诺图8-9 在输入端只给出原变量没有反变量的条件下,用“与非”门和“非”门设计实现下列函数的组合电路:1) F =2) F(A、B、C、D)=(1,5,6,7,12,13,14)解 1)对上述逻辑表达式用摩根律进行变换:由逻辑表达式画出逻辑电路图题8-9(1)逻辑电路图所示。题8-9(1)逻辑电路图2)利用卡诺图对表达式

6、进行化简,题8-9(2)的卡诺图,得用与非门实现,对上式进行变换得到的逻辑电路如题8-9(2)的逻辑电路所示。题8-9(2)的卡诺图题8-9(2)的逻辑电路 3)逻辑电路如题8-9(3)的逻辑电路所示。题8-9(3)的逻辑电路4)逻辑电路如题8-9(4)的逻辑电路所示。题8-9(4)的逻辑电路5)逻辑电路如题8-9(5)的逻辑电路所示。题8-9(5)的逻辑电路6) 逻辑电路如题8-9(6)的逻辑电路所示。题8-9(6)的逻辑电路8-10 有三台炼钢炉,它们的工作信号为A、B、C。必须有两台,也只允许有两台炉炼钢,且B与C不能同时炼钢,否则发出中断信号。试用“与非”门组成逻辑电路,反映上述要求。

7、解 用输出F为1表示可正常运行,为0表示发出中断信号,根据题意列出逻辑真值表如题8-10真值表。题8-10真值表A B CFA B CF0 0 00 0 10 1 00 1 100001 0 01 0 11 1 01 1 10110由真值表写出逻辑表达式:用卡诺图对上式进行化简,如题8-10卡诺图,可见上式已经是最简的。要用与非门实现该电路,对其进行变换:画出逻辑电路图如题8-10逻辑电路所示。题8-10卡诺图题8-10逻辑电路8-11 某产品有A、B、C、D四项指标。规定A是必须满足的要求,其它三项中只有满足任意两项要求,产品就算合格。试用“与非”门构成产品合格的逻辑电路。解 根据题意列出逻

8、辑真值表如题8-11真值表,F表示是否合格,合格为1,不合格为0。 题8-11真值表A B C DFA B C DF0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 10 0 00 0 00 01 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 100010111由真值表写出逻辑表达式:利用卡诺图进行化简,如题8-11卡诺图所示,得画出逻辑电路图如题8-11逻辑电路所示。题8-11逻辑电路题8-11卡诺图8-12用“与非”门分别设计如下逻辑电路:1) 三变量的多数表决电路(三个

9、变量中有多数个1时,输出为1)。2) 三变量的判奇电路(三个变量中有奇数个1时,输出为1)。3) 四变量的判偶电路(四个变量中有偶数个1时,输出为1)。解 1)设三个变量为A、B、C,根据题意列出逻辑真值表题8-12(1)真值表。 题8-12(1)真值表A B CF0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 100010111由真值表写出逻辑表达式:利用卡诺图化简上式,见题8-12(1)卡诺图,得由逻辑表达式画出逻辑电路图如题8-12(1)逻辑电路所示。题8-12(1)卡诺图题8-12(1)逻辑电路设三个变量为A、B、C,据题意列出逻辑真值表如题8-12(2)

10、真值表所示。由真值表写出逻辑表达式: 题8-12(2)真值表A B CFA B CF0 0 00 0 10 1 00 1 101101 0 01 0 11 1 01 1 11001采用卡诺图法化简该逻辑表达式。如题8-12(2)卡诺图所示,可见上述逻辑表达式已经是最简的。画出逻辑电路图。要求只用与非门实现,对上述逻辑表达式用摩根律进行变换:相应的电路如题8-12(2)的逻辑电路所示。题8-12(2)卡诺图题8-12(2)的逻辑电路 设四个变量为A、B、C、D,根据题意列出逻辑真值表如题8-12(3)真值表所示。题8-12(3)真值表A B C DFA B C DF0 0 0 00 0 0 10

11、 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 01 0 11 01 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 101101001由真值表写出逻辑表达式:采用卡诺图法化简该逻辑表达式。如题8-12(3)卡诺图所示,可见上述逻辑表达式已经是最简的。 要用与非门实现逻辑电路,对上式进行变换:相应的电路如题8-12(3)的逻辑电路所示。题8-12(3)卡诺图题8-12(3)的逻辑电路8-13 某同学参加四门课程考试,规定如下:1) 课程A及格得1分,不及格得0分;2) 课程B及格得2分,不及格得

12、0分;3) 课程C及格得4分,不及格得0分;4) 课程D及格得5分,不及格得0分;若总得分大于8分(含8分),就可结业。试用“与非”门构成实现上述逻辑要求的电路。解 设A、B、C、D四门课程及格为1,不及格为0,F为1表示可结业,为0表示不可结业。根据题意列出逻辑真值表如题8-13真值表所示。由真值表写出逻辑表达式:题8-13真值表A B C DFA B C DF0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 10 0 01 0 00 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1

13、01 1 1 100010101利用卡诺图进行化简,如题8-13卡诺图所示,得逻辑电路如题8-13的逻辑电路所示。题8-13卡诺图 题8-13的逻辑电路8-14 图8-52是一密码锁控制电路。开锁条件是:拨对密码;钥匙插入锁眼将开关S闭合。当两个条件同时满足时,开锁信号为1,将锁打开。否则报警信号为1,接通警铃。试分析密码ABCD是多少?图8-52 题8-14图解 由上述电路可得到开锁信号和报警信号的逻辑表达式为开锁信号 报警信号 欲使开锁信号为1,须使式中各项均为1,S=1,A=1,B=0,C=0,D=1,所以密码为ABCD=1001,由式可见,当密码错误且开关不闭合时,报警信号为1。8-1

14、5 试设计一个能将十进制数编为余3代码得编码器。解 根据题意列出编码表如题8-15真值表所示。题8-15真值表输 入Y9 Y8 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0输 出A B C D 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 00 0

15、 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 0各输出的逻辑表达式为:由逻辑表达式画出逻辑电路图如题8-15的逻辑电路所示。题8-15的逻辑电路8-16 设计一个8段译码器,其功能是将8421BCD码译成8段输出信号,供如图8-53所示8段数码管作译码。1) 写出该译码器的各段逻辑表达式;2) 画出用“与非”门实现的逻辑电路。图8-53 8段数码管解 用A、B、C、D表示输入8421BCD码从低位到高位的各位代码,用如题8-16解图(a)所示的ah八个数码表示译码器输出。根据题意列出逻辑真值表如题8-16八段

16、译码器的真值表所示。题8-16 八段译码器的真值表十进制数输 入输 出显示数字01234567890 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 1 1 1 1 1 0 00 1 1 0 0 0 0 01 1 0 1 1 0 1 01 1 1 1 0 0 1 00 1 1 0 0 1 1 11 0 1 1 0 1 1 01 0 1 1 1 1 1 01 1 1 0 0 0 0 01 1 1 1 1 1 1 01 1 1 1 0 1 1 00123456789利用真值表写出各输出的逻辑表达式。由题8-

17、16八段译码器的真值表可见,输出值为0的状态少于输出值为1的状态,采用合并0求反函数可以获得比较简单的化简结果,于是得到题8-16解图(a)8段数码管的输出表示利用卡诺图化简逻辑函数,八个输出的卡诺图分别见题8-16解图(b)的(a)(h)(化简时把DCBA的10101111六个状态作为约束项处理了,所以输入变量的取值不应出现这六种状态),化简后可得(a) (b) (c)(d) (e) (f)(g) (h)题8-16解图(b) 卡诺图化简要用与非门实现以上电路,对上式进行变换得根据以上逻辑表达式画出逻辑电路如题8-16解图(c)所示。题8-16解图(c) 8-17 设计一个半减器和全减器。 解

18、 (1)半减器半减是只求本位和没有相邻低位借位的减法。两个一位二进制数相减的真值表如题8-17表(a)所示。其中Ai、Bi分别表示被减数和减数, 表示半减差,Ci表示借位。由真值表可写出半减差和借位Ci的逻辑表达式为题8-17解图(a)是半减器的逻辑电路图。题8-17表(a) 半减器真值表Ai BiCi0 00 11 01 101100100题8-17解图(a) 半减器逻辑电路图(2)全减器全减是除本位外还有低位的借位参与相减的减法。因此,在设计全减器时,不仅要考虑本位的被减数和减数Ai、Bi,还必须考虑来自相邻低位的借位Ci-1。题8-17解图(b)是全减器的真值表。 题8-17表(b) 全减器真值表Ai Bi Ci-1Si CiAi Bi Ci-1Si Ci0 0 00 0 10 1 00 1 10 01 11 10 11 0 01 0 11 1 01 1 11 00 00 01 1 由真值表可写出全减差Si和借位Ci的逻辑式:令 而 所以 由此可得全减器的逻辑图如题8-17解图(b)所示。题8-17解图(b)全减器逻辑电路图

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!