quartus-II-软件做4的位乘法器设计(vhdl-语言)

上传人:积*** 文档编号:120414838 上传时间:2022-07-17 格式:DOC 页数:7 大小:853.50KB
收藏 版权申诉 举报 下载
quartus-II-软件做4的位乘法器设计(vhdl-语言)_第1页
第1页 / 共7页
quartus-II-软件做4的位乘法器设计(vhdl-语言)_第2页
第2页 / 共7页
quartus-II-软件做4的位乘法器设计(vhdl-语言)_第3页
第3页 / 共7页
资源描述:

《quartus-II-软件做4的位乘法器设计(vhdl-语言)》由会员分享,可在线阅读,更多相关《quartus-II-软件做4的位乘法器设计(vhdl-语言)(7页珍藏版)》请在装配图网上搜索。

1、 用quartus II 软件设计4位乘法器1. 并行乘法的算法: 下面根据乘法例题来分析这种算法,题中M4,M3,M2,M1是被乘数,用M表达。N4,N3,N2,N1是乘数,用N表达2.乘法模块Library ieee; Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity and4a isPort(a:in std_logic_vector(3 downto 0); en:in std_logic; r:out std_logic_vector(3 downto 0);End and4a;Architectur

2、e behave of and4a isBegin Process(en,a(3 downto 0) Begin If (en=1) then r=a; Else r=0000; End if;End process;End behave;3. 加法模块Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity ls283 isPort (o1,o2:in std_logic_vector(3 downto 0); res:out std_logic_vector(4 downto 0);End

3、 ls283;Architecture behave of ls283 isBegin Process(o1,o2) Begin res=(0&o1)+(0&o2); End process;End behave;4. 主程序Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity mul4p isPort (op1,op2:in std_logic_vector(3 downto 0); result:out std_logic_vector(7 downto 0);End mul4p;Ar

4、chitecture count of mul4p is component and4a port (a:in std_logic_vector(3 downto 0); en:in std_logic; r:out std_logic_vector(3 downto 0);End component;Component ls283 port (o1,o2:in std_logic_vector(3 downto 0); res:out std_logic_vector(4 downto 0);End component;Signal sa:std_logic_vector(3 downto

5、0);Signal sb:std_logic_vector(4 downto 0);Signal sc:std_logic_vector(3 downto 0);Signal sd:std_logic_vector(4 downto 0);Signal se:std_logic_vector(3 downto 0);Signal sf:std_logic_vector(3 downto 0);Signal sg:std_logic_vector(3 downto 0);-signal tmp1:std_logic;Begin sg=(0&sf (3 downto 1); -tmp1op2,en

6、=op1(1),r=se); U1:and4a port map(a=op2,en=op1(3),r=sa); U2:ls283 port map(o1=sb(4 downto 1),o2=sa,res=result(7 downto 3); U3:and4a port map(a=op2,en=op1(2),r=sc); U4:ls283 port map(o1=sc,o2=sd(4 downto 1),res=sb); u5:ls283 port map(o1=sg,o2=se,res=sd); u6:and4a port map(a=op2,en=op1(0),r=sf); result(0)=sf(0); result(1)=sd(0); result(2)=sb(0); -result(7 downto 0)=00000000;End count;5.仿真波形图6.引脚分派图7. 硬件下载编程与硬件实现 在实现硬件测试时,选择8个按键作为4个数据的输入信号,用8个LED灯作为运算成果数据输出批示,每4个数作为一种二进制数值,通过LED灯的亮灭来显示乘法的运算成果。 加油哦!开心每一天!(*_*)

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!