半导体一些术语的中英文对照

上传人:回**** 文档编号:119441878 上传时间:2022-07-15 格式:DOC 页数:26 大小:98.50KB
收藏 版权申诉 举报 下载
半导体一些术语的中英文对照_第1页
第1页 / 共26页
半导体一些术语的中英文对照_第2页
第2页 / 共26页
半导体一些术语的中英文对照_第3页
第3页 / 共26页
资源描述:

《半导体一些术语的中英文对照》由会员分享,可在线阅读,更多相关《半导体一些术语的中英文对照(26页珍藏版)》请在装配图网上搜索。

1、半导体某些术语的中英文对照离子注入机 ion implanterLSS理论 Lindhand Scharff and Schiott theory又称“林汉德-斯卡夫-斯高特理论”。沟道效应 channeling effect射程分布 range distribution深度分布 depth distribution投影射程 projected range制止距离 stopping distance制止本领 stopping power原则制止截面 standard stopping cross section退火 annealing激活能 activation energy等温退火 isot

2、hermal annealing激光退火 laser annealing应力感生缺陷 stress-induced defect择优取向 preferred orientation制版工艺 mask-making technology图形畸变 pattern distortion初缩 first minification精缩 final minification母版 master mask铬版 chromium plate干版 dry plate乳胶版 emulsion plate透明版 see-through plate高辨别率版 high resolution plate, HRP超微粒干

3、版 plate for ultra-microminiaturization掩模 mask掩模对准 mask alignment对准精度 alignment precision光刻胶 photoresist又称“光致抗蚀剂”。负性光刻胶 negative photoresist正性光刻胶 positive photoresist无机光刻胶 inorganic resist多层光刻胶 multilevel resist电子束光刻胶 electron beam resistX射线光刻胶 X-ray resist刷洗 scrubbing甩胶 spinning涂胶 photoresist coatin

4、g后烘 postbaking光刻 photolithographyX射线光刻 X-ray lithography电子束光刻 electron beam lithography离子束光刻 ion beam lithography深紫外光刻 deep-UV lithography光刻机 mask aligner投影光刻机 projection mask aligner曝光 exposure接触式曝光法 contact exposure method接近式曝光法 proximity exposure method光学投影曝光法 optical projection exposure method电子

5、束曝光系统 electron beam exposure system分步反复系统 step-and-repeat system显影 development线宽 linewidth去胶 stripping of photoresist氧化去胶 removing of photoresist by oxidation等离子体去胶 removing of photoresist by plasma刻蚀 etching干法刻蚀 dry etching反映离子刻蚀 reactive ion etching, RIE各向同性刻蚀 isotropic etching各向异性刻蚀 anisotropic e

6、tching反映溅射刻蚀 reactive sputter etching离子铣 ion beam milling又称“离子磨削”。等离子体刻蚀 plasma etching钻蚀 undercutting剥离技术 lift-off technology又称“浮脱工艺”。终点监测 endpoint monitoring金属化 metallization互连 interconnection多层金属化 multilevel metallization电迁徙 electromigration回流 reflow磷硅玻璃 phosphorosilicate glass硼磷硅玻璃 boron-phospho

7、rosilicate glass钝化工艺 passivation technology多层介质钝化 multilayer dielectric passivation划片 scribing电子束切片 electron beam slicing烧结 sintering印压 indentation热压焊 thermocompression bonding热超声焊 thermosonic bonding冷焊 cold welding点焊 spot welding球焊 ball bonding楔焊 wedge bonding内引线焊接 inner lead bonding外引线焊接 outer lea

8、d bonding梁式引线 beam lead装架工艺 mounting technology附着 adhesion封装 packaging金属封装 metallic packaging陶瓷封装 ceramic packaging扁平封装 flat packaging塑封 plastic package玻璃封装 glass packaging微封装 micropackaging又称“微组装”。管壳 package管芯 die引线键合 lead bonding引线框式键合 lead frame bonding带式自动键合 tape automated bonding, TAB激光键合 laser

9、 bonding超声键合 ultrasonic bonding红外键合 infrared bonding微电子辞典Abrupt junction 突变结 Accelerated testing 加速实验 Acceptor 受主 Acceptor atom 受主原子 Accumulation 积累、堆积 Accumulating contact 积累接触 Accumulation region 积累区 Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Act

10、ivation energy 激活能 Active region 有源(放大)区 Admittance 导纳 Allowed band 允带 Alloy-junction device合金结器件 Aluminum(Aluminium) 铝 Aluminum oxide 铝氧化物 Aluminum passivation 铝钝化 Ambipolar 双极的 Ambient temperature 环境温度 Amorphous 无定形的,非晶体的 Amplifier 功放 扩音器 放大器 Analogue(Analog) comparator 模拟比较器 Angstrom 埃 Anneal 退火

11、Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程 Avalanche 雪崩 Avalanche breakdown 雪崩击穿 Avalanche excitation雪崩激发 Background carrier 本底载流子 Background doping 本底掺杂 Backward 反向 Backward bias 反向偏置 Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙 Barrier 势垒 Barrier layer 势

12、垒层 Barrier width 势垒宽度 Base 基极 Base contact 基区接触 Base stretching 基区扩展效应 Base transit time 基区渡越时间 Base transport efficiency基区输运系数 Base-width modulation基区宽度调制 Basis vector 基矢 Bias 偏置 Bilateral switch 双向开关 Binary code 二进制代码Binary compound semiconductor 二元化合物半导体 Bipolar 双极性的 Bipolar Junction Transistor (

13、BJT)双极晶体管 Bloch 布洛赫 Blocking band 阻挡能带 Blocking contact 阻挡接触 Body - centered 体心立方 Body-centred cubic structure 体立心构造 Boltzmann 波尔兹曼 Bond 键、键合 Bonding electron 价电子 Bonding pad 键合点 Bootstrap circuit 自举电路 Bootstrapped emitter follower 自举射极跟随器Boron 硼 Borosilicate glass 硼硅玻璃 Boundary condition 边界条件 Bound

14、 electron 束缚电子 Breadboard 模拟板、实验板 Break down 击穿 Break over 转折 Brillouin 布里渊 Brillouin zone 布里渊区 Built-in 内建的 Build-in electric field 内建电场 Bulk 体/体内 Bulk absorption 体吸取 Bulk generation 体产生 Bulk recombination 体复合 Burn - in 老化 Burn out 烧毁 Buried channel 埋沟 Buried diffusion region 隐埋扩散区 Can 外壳 Capacitan

15、ce 电容 Capture cross section 俘获截面 Capture carrier 俘获载流子 Carrier 载流子、载波 Carry bit 进位位 Carry-in bit 进位输入 Carry-out bit 进位输出 Cascade 级联 Case 管壳 Cathode 阴极 Center 中心 Ceramic 陶瓷(的) Channel 沟道 Channel breakdown 沟道击穿 Channel current 沟道电流 Channel doping 沟道掺杂 Channel shortening 沟道缩短 Channel width 沟道宽度 Charact

16、eristic impedance 特性阻抗 Charge 电荷、充电 Charge-compensation effects 电荷补偿效应 Charge conservation 电荷守恒 Charge neutrality condition 电中性条件 Charge drive/exchange/sharing/transfer/storage 电荷驱动/互换/共享/转移/存储 Chemmical etching 化学腐蚀法 Chemically-Polish 化学抛光 Chemmically-Mechanically Polish (CMP) 化学机械抛光 Chip 芯片 Chip y

17、ield 芯片成品率 Clamped 箝位 Clamping diode 箝位二极管 Cleavage plane 解理面 Clock rate 时钟频率 Clock generator 时钟发生器 Clock flip-flop 时钟触发器 Close-packed structure 密堆积构造 Close-loop gain 闭环增益 Collector 集电极 Collision 碰撞 Compensated OP-AMP 补偿运放 Common-base/collector/emitter connection 共基极/集电极/发射极连接 Common-gate/drain/sour

18、ce connection 共栅/漏/源连接 Common-mode gain 共模增益 Common-mode input 共模输入 Common-mode rejection ratio (CMRR) 共模克制比 Compatibility 兼容性 Compensation 补偿 Compensated impurities 补偿杂质 Compensated semiconductor 补偿半导体 Complementary Darlington circuit 互补达林顿电路 Complementary Metal-Oxide-Semiconductor Field-Effect-Tra

19、nsistor(CMOS) 互补金属氧化物半导体场效应晶体管 Complementary error function 余误差函数 Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试 /制 造 Compound Semiconductor 化合物半导体 Conductance 电导 Conduction band (edge) 导带(底) Conduction level/state 导带态 Conductor 导体 Conductivity 电导率 Configuration 组态 Conlomb 库仑 Con

20、pled Configuration Devices 构造组态 Constants 物理常数 Constant energy surface 等能面 Constant-source diffusion恒定源扩散 Contact 接触 Contamination 治污 Continuity equation 持续性方程 Contact hole 接触孔 Contact potential 接触电势 Continuity condition 持续性条件 Contra doping 反掺杂 Controlled 受控的 Converter 转换器 Conveyer 传播器 Copper interc

21、onnection system 铜互连系统Couping 耦合 Covalent 共阶的 Crossover 跨交 Critical 临界的 Crossunder 穿交 Crucible坩埚 Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶 格 Current density 电流密度 Curvature 曲率 Cut off 截止 Current drift/dirve/sharing 电流漂移/驱动/共享 Current Sense 电流取样 Curvature 弯曲 Custom integrated circuit 定制集成电路

22、 Cylindrical 柱面的 Czochralshicrystal 直立单晶 Czochralski technique 切克劳斯基技术(Cz法直拉晶体J) Dangling bonds 悬挂键 Dark current 暗电流 Dead time 空载时间 Debye length 德拜长度 De.broglie 德布洛意 Decderate 减速 Decibel (dB) 分贝 Decode 译码 Deep acceptor level 深受主能级 Deep donor level 深施主能级 Deep impurity level 深度杂质能级 Deep trap 深陷阱 Defea

23、t 缺陷 Degenerate semiconductor 简并半导体 Degeneracy 简并度 Degradation 退化 Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度 Delay 延迟 Density 密度 Density of states 态密度 Depletion 耗尽 Depletion approximation 耗尽近似 Depletion contact 耗尽接触 Depletion depth 耗尽深度 Depletion effect 耗尽效应 Depletion layer 耗尽层 Depletion MOS 耗尽MOS D

24、epletion region 耗尽区 Deposited film 淀积薄膜 Deposition process 淀积工艺 Design rules 设计规则 Die 芯片(复数dice) Diode 二极管 Dielectric 介电的 Dielectric isolation 介质隔离 Difference-mode input 差模输入 Differential amplifier 差分放大器 Differential capacitance 微分电容 Diffused junction 扩散结 Diffusion 扩散 Diffusion coefficient 扩散系数 Diff

25、usion constant 扩散常数 Diffusivity 扩散率 Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉 Digital circuit 数字电路 Dipole domain 偶极畴 Dipole layer 偶极层 Direct-coupling 直接耦合 Direct-gap semiconductor 直接带隙半导体 Direct transition 直接跃迁 Discharge 放电 Discrete component 分立元件 Dissipation 耗散 Distribution 分布 Dist

26、ributed capacitance 分布电容 Distributed model 分布模型 Displacement 位移 Dislocation 位错 Domain 畴 Donor 施主 Donor exhaustion 施主耗尽 Dopant 掺杂剂 Doped semiconductor 掺杂半导体 Doping concentration 掺杂浓度 Double-diffusive MOS(DMOS)双扩散MOS. Drift 漂移 Drift field 漂移电场 Drift mobility 迁移率 Dry etching 干法腐蚀 Dry/wet oxidation 干/湿法

27、氧化 Dose 剂量 Duty cycle 工作周期 Dual-in-line package (DIP) 双列直插式封装 Dynamics 动态 Dynamic characteristics 动态属性 Dynamic impedance 动态阻抗 Early effect 厄利效应 Early failure 初期失效 Effective mass 有效质量 Einstein relation(ship) 爱因斯坦关系 Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器 Electrode 电极 Electro

28、minggratim 电迁移 Electron affinity 电子亲和势 Electronic -grade 电子能 Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光 Electron gas 电子气 Electron-grade water 电子级纯水 Electron trapping center 电子俘获中心 Electron Volt (eV) 电子伏 Electrostatic 静电的 Element 元素/元件/配件 Elemental semiconductor 元素半导体 Ellipse 椭圆 Ellipsoid 椭球 Emi

29、tter 发射极 Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对 Emitter follower 射随器 Empty band 空带 Emitter crowding effect 发射极集边(拥挤)效应 Endurance test =life test 寿命测试 Energy state 能态 Energy momentum diagram 能量-动量(E-K)图 Enhancement mode 增强型模式 Enhancement MOS 增强性MOS Entefic (低)共溶的 Environmental test

30、环境测试 Epitaxial 外延的 Epitaxial layer 外延层 Epitaxial slice 外延片 Expitaxy 外延 Equivalent curcuit 等效电路 Equilibrium majority /minority carriers 平衡多数/少数载流子 Erasable Programmable ROM (EPROM)可搽取(编程)存储器 Error function complement 余误差函数 Etch 刻蚀 Etchant 刻蚀剂 Etching mask 抗蚀剂掩模 Excess carrier 过剩载流子 Excitation energy

31、激发能 Excited state 激发态 Exciton 激子 Extrapolation 外推法 Extrinsic 非本征的 Extrinsic semiconductor 杂质半导体 Face - centered 面心立方 Fall time 下降时间 Fan-in 扇入 Fan-out 扇出 Fast recovery 快恢复 Fast surface states 快界面态 Feedback 反馈 Fermi level 费米能级 Fermi-Dirac Distribution 费米-狄拉克分布 Femi potential 费米势 Fick equation 菲克方程(扩散)

32、 Field effect transistor 场效应晶体管 Field oxide 场氧化层 Filled band 满带 Film 薄膜 Flash memory 闪烁存储器 Flat band 平带 Flat pack 扁平封装 Flicker noise 闪烁(变)噪声 Flip-flop toggle 触发器翻转 Floating gate 浮栅 Fluoride etch 氟化氢刻蚀 Forbidden band 禁带 Forward bias 正向偏置 Forward blocking /conducting正向阻断/导通 Frequency deviation noise频率

33、漂移噪声 Frequency response 频率响应 Function 函数 Gain 增益 Gallium-Arsenide(GaAs) 砷化钾 Gamy ray r 射线 Gate 门、栅、控制极 Gate oxide 栅氧化层 Gauss(ian) 高斯 Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合 Geometries 几何尺寸 Germanium(Ge) 锗 Graded 缓变的 Graded (gradual) channel 缓变沟道 Graded junction 缓变结 Grain 晶

34、粒 Gradient 梯度 Grown junction 生长结 Guard ring 保护环 Gummel-Poom model 葛谋-潘 模型 Gunn - effect 狄氏效应 Hardened device 辐射加固器件 Heat of formation 形成热 Heat sink 散热器、热沉 Heavy/light hole band 重/轻 空穴带 Heavy saturation 重掺杂 Hell - effect 霍尔效应 Heterojunction 异质结 Heterojunction structure 异质结构造 Heterojunction Bipolar Tr

35、ansistor(HBT)异质结双极型晶体 High field property 高场特性 High-performance MOS.( H-MOS)高性能MOS. Hormalized 归一化 Horizontal epitaxial reactor 卧式外延反映器 Hot carrior 热载流子 Hybrid integration 混合集成 Image - force 镜象力 Impact ionization 碰撞电离 Impedance 阻抗 Imperfect structure 不完整构造 Implantation dose 注入剂量 Implanted ion 注入离子 I

36、mpurity 杂质 Impurity scattering 杂志散射 Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模 Indium tin oxide (ITO) 铟锡氧化物 Induced channel 感应沟道 Infrared 红外的 Injection 注入 Input offset voltage 输入失调电压 Insulator 绝缘体 Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑 Integration 集成、积分 Intercon

37、nection 互连 Interconnection time delay 互连延时 Interdigitated structure 交互式构造 Interface 界面 Interference 干涉 International system of unions国际单位制 Internally scattering 谷间散射 Interpolation 内插法 Intrinsic 本征的 Intrinsic semiconductor 本征半导体 Inverse operation 反向工作 Inversion 反型 Inverter 倒相器 Ion 离子 Ion beam 离子束 Ion

38、 etching 离子刻蚀 Ion implantation 离子注入 Ionization 电离 Ionization energy 电离能 Irradiation 辐照 Isolation land 隔离岛 Isotropic 各向同性 Junction FET(JFET) 结型场效应管 Junction isolation 结隔离 Junction spacing 结间距 Junction side-wall 结侧壁 Latch up 闭锁 Lateral 横向的 Lattice 晶格 Layout 幅员 Lattice binding/cell/constant/defect/dist

39、ortion 晶格结合力/晶胞/晶格/晶格常熟 /晶格缺陷/晶格畸变 Leakage current (泄)漏电流 Level shifting 电平移动 Life time 寿命 linearity 线性度 Linked bond 共价键 Liquid Nitrogen 液氮 Liquidphase epitaxial growth technique 液相外延生长技术 Lithography 光刻 Light Emitting Diode(LED) 发光二极管 Load line or Variable 负载线 Locating and Wiring 布局布线 Longitudinal 纵

40、向的 Logic swing 逻辑摆幅 Lorentz 洛沦兹 Lumped model 集总模型 Majority carrier 多数载流子 Mask 掩膜板,光刻板 Mask level 掩模序号 Mask set 掩模组 Mass - action law质量守恒定律 Master-slave D flip-flop主从D触发器 Matching 匹配 Maxwell 麦克斯韦 Mean free path 平均自由程 Meandered emitter junction梳状发射极结 Mean time before failure (MTBF) 平均工作时间 Megeto - res

41、istance 磁阻 Mesa 台面 MESFET-Metal Semiconductor金属半导体FET Metallization 金属化 Microelectronic technique 微电子技术 Microelectronics 微电子学 Millen indices 密勒指数 Minority carrier 少数载流子 Misfit 失配 Mismatching 失配 Mobile ions 可动离子 Mobility 迁移率 Module 模块 Modulate 调制 Molecular crystal分子晶体 Monolithic IC 单片IC MOSFET金属氧化物半导

42、体场效应晶体管 Mos. Transistor(MOST )MOS. 晶体管 Multiplication 倍增 Modulator 调制 Multi-chip IC 多芯片IC Multi-chip module(MCM) 多芯片模块 Multiplication coefficient倍增因子 Naked chip 未封装的芯片(裸片) Negative feedback 负反馈 Negative resistance 负阻 Nesting 套刻 Negative-temperature-coefficient 负温度系数 Noise margin 噪声容限 Nonequilibrium

43、非平衡 Nonrolatile 非挥发(易失)性 Normally off/on 常闭/开 Numerical analysis 数值分析 Occupied band 满带 Officienay 功率 Offset 偏移、失调 On standby 待命状态 Ohmic contact 欧姆接触 Open circuit 开路 Operating point 工作点 Operating bias 工作偏置 Operational amplifier (OPAMP)运算放大器 Optical photon =photon 光子 Optical quenching光猝灭 Optical trans

44、ition 光跃迁 Optical-coupled isolator光耦合隔离器 Organic semiconductor有机半导体 Orientation 晶向、定向 Outline 外形 Out-of-contact mask非接触式掩模 Output characteristic 输出特性 Output voltage swing 输出电压摆幅 Overcompensation 过补偿 Over-current protection 过流保护 Over shoot 过冲 Over-voltage protection 过压保护 Overlap 交迭 Overload 过载 Oscill

45、ator 振荡器 Oxide 氧化物 Oxidation 氧化 Oxide passivation 氧化层钝化 Package 封装 Pad 压焊点 Parameter 参数 Parasitic effect 寄生效应 Parasitic oscillation 寄生振荡 Passination 钝化 Passive component 无源元件 Passive device 无源器件 Passive surface 钝化界面 Parasitic transistor 寄生晶体管 Peak-point voltage 峰点电压 Peak voltage 峰值电压 Permanent-stora

46、ge circuit 永久存储电路 Period 周期 Periodic table 周期表 Permeable - base 可渗入基区 Phase-lock loop 锁相环 Phase drift 相移 Phonon spectra 声子谱 Photo conduction 光电导 Photo diode 光电二极管 Photoelectric cell 光电池 Photoelectric effect 光电效应 Photoenic devices 光子器件 Photolithographic process 光刻工艺 (photo) resist (光敏)抗腐蚀剂 Pin 管脚 Pin

47、ch off 夹断 Pinning of Fermi level 费米能级的钉扎(效应) Planar process 平面工艺 Planar transistor 平面晶体管 Plasma 等离子体 Plezoelectric effect 压电效应 Poisson equation 泊松方程 Point contact 点接触 Polarity 极性 Polycrystal 多晶 Polymer semiconductor聚合物半导体 Poly-silicon 多晶硅 Potential (电)势 Potential barrier 势垒 Potential well 势阱 Power d

48、issipation 功耗 Power transistor 功率晶体管 Preamplifier 前置放大器 Primary flat 主平面 Principal axes 主轴 Print-circuit board(PCB) 印制电路板 Probability 几率 Probe 探针 Process 工艺 Propagation delay 传播延时 Pseudopotential method 膺势发 Punch through 穿通 Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM) 脉冲宽度调制 Punchth

49、rough 穿通 Push-pull stage 推挽级 Quality factor 品质因子 Quantization 量子化 Quantum 量子 Quantum efficiency量子效应 Quantum mechanics 量子力学 Quasi Fermilevel准费米能级 Quartz 石英 Radiation conductivity 辐射电导率 Radiation damage 辐射损伤 Radiation flux density 辐射通量密度 Radiation hardening 辐射加固 Radiation protection 辐射保护 Radiative - r

50、ecombination辐照复合 Radioactive 放射性 Reach through 穿通 Reactive sputtering source 反映溅射源 Read diode 里德二极管 Recombination 复合 Recovery diode 恢复二极管 Reciprocal lattice 倒核子 Recovery time 恢复时间 Rectifier 整流器(管) Rectifying contact 整流接触 Reference 基准点 基准 参照点 Refractive index 折射率 Register 寄存器 Registration 对准 Regulate

51、 控制 调节 Relaxation lifetime 驰豫时间 Reliability 可靠性 Resonance 谐振 Resistance 电阻 Resistor 电阻器 Resistivity 电阻率 Regulator 稳压管(器) Relaxation 驰豫 Resonant frequency共射频率 Response time 响应时间 Reverse 反向的 Reverse bias 反向偏置 Sampling circuit 取样电路 Sapphire 蓝宝石(Al2O3) Satellite valley 卫星谷 Saturated current range电流饱和区 S

52、aturation region 饱和区 Saturation 饱和的 Scaled down 按比例缩小 Scattering 散射 Schockley diode 肖克莱二极管 Schottky 肖特基 Schottky barrier 肖特基势垒 Schottky contact 肖特基接触 Schrodingen 薛定厄 Scribing grid 划片格 Secondary flat 次平面 Seed crystal 籽晶 Segregation 分凝 Selectivity 选择性 Self aligned 自对准的 Self diffusion 自扩散 Semiconductor

53、 半导体 Semiconductor-controlled rectifier 可控硅 Sendsitivity 敏捷度 Serial 串行/串联 Series inductance 串联电感 Settle time 建立时间 Sheet resistance 薄层电阻 Shield 屏蔽 Short circuit 短路 Shot noise 散粒噪声 Shunt 分流 Sidewall capacitance 边墙电容 Signal 信号 Silica glass 石英玻璃 Silicon 硅 Silicon carbide 碳化硅 Silicon dioxide (SiO2) 二氧化硅

54、Silicon Nitride(Si3N4) 氮化硅 Silicon On Insulator 绝缘硅 Siliver whiskers 银须 Simple cubic 简立方 Single crystal 单晶 Sink 沉 Skin effect 趋肤效应 Snap time 急变时间 Sneak path 潜行通路 Sulethreshold 亚阈的 Solar battery/cell 太阳能电池 Solid circuit 固体电路 Solid Solubility 固溶度 Sonband 子带 Source 源极 Source follower 源随器 Space charge 空

55、间电荷 Specific heat(PT) 热 Speed-power product 速度功耗乘积 Spherical 球面的 Spin 自旋 Split 分裂 Spontaneous emission 自发发射 Spreading resistance扩展电阻 Sputter 溅射 Stacking fault 层错 Static characteristic 静态特性 Stimulated emission 受激发射 Stimulated recombination 受激复合 Storage time 存储时间 Stress 应力 Straggle 偏差 Sublimation 升华 S

56、ubstrate 衬底 Substitutional 替位式的 Superlattice 超晶格 Supply 电源 Surface 表面 Surge capacity 浪涌能力 Subscript 下标 Switching time 开关时间 Switch 开关 Tailing 扩展 Terminal 终端 Tensor 张量 Tensorial 张量的 Thermal activation 热激发 Thermal conductivity 热导率 Thermal equilibrium 热平衡 Thermal Oxidation 热氧化 Thermal resistance 热阻 Ther

57、mal sink 热沉 Thermal velocity 热运动 Thermoelectricpovoer 温差电动势率 Thick-film technique 厚膜技术 Thin-film hybrid IC薄膜混合集成电路 Thin-Film Transistor(TFT) 薄膜晶体 Threshlod 阈值 Thyistor 晶闸管 Transconductance 跨导 Transfer characteristic 转移特性 Transfer electron 转移电子 Transfer function 传播函数 Transient 瞬态的 Transistor aging(st

58、ress) 晶体管老化 Transit time 渡越时间 Transition 跃迁 Transition-metal silica 过度金属硅化物 Transition probability 跃迁几率 Transition region 过渡区 Transport 输运 Transverse 横向的 Trap 陷阱 Trapping 俘获 Trapped charge 陷阱电荷 Triangle generator 三角波发生器 Triboelectricity 摩擦电 Trigger 触发 Trim 调配 调节 Triple diffusion 三重扩散 Truth table 真值表

59、 Tolerahce 容差 Tunnel(ing) 隧道(穿) Tunnel current 隧道电流 Turn over 转折 Turn - off time 关断时间 Ultraviolet 紫外的 Unijunction 单结的 Unipolar 单极的 Unit cell 原(元)胞 Unity-gain frequency 单位增益频率 Unilateral-switch单向开关 Vacancy 空位 Vacuum 真空 Valence(value) band 价带 Value band edge 价带顶 Valence bond 价键 Vapour phase 汽相 Varacto

60、r 变容管 Varistor 变阻器 Vibration 振动 Voltage 电压 Wafer 晶片 Wave equation 波动方程 Wave guide 波导 Wave number 波数 Wave-particle duality 波粒二相性 Wear-out 烧毁 Wire routing 布线 Work function 功函数 Worst-case device 最坏状况器件 Yield 成品率 Zener breakdown 齐纳击穿 Zone melting 区熔法 AS:Abnormal Tail Sensitivity线尾敏捷度异常2 K) ?$ k+ ?/ R, p

61、1 CAT:Abnormal Tail Threshold线的阀值异常4 9 n. W- e( _0 c1 : j. F8 NBnd:Bond 键合! u3 D! o. Q8 U2 g& e2 V:Bond Head 焊头: + C# h9 ( a: _BBOS: Bond Ball On Stitch 2 t* ! # R$ * M% EBFM:Ball Formation Monitor 检测烧球质量$ V! T) |# P2 d! j: cBOS:Basic Operation & Setup 基本操作& 设立& I% zn2 D* y; Z4 JBPO:Bond Pad Opening Pad尺寸, D! d E% K; E) 5 mBPP:Bond Pad Pitch焊点之间的距离2 H3 b) K% e/ + x2 I7 4 n. m0 S8 YBQM:Bond Quality Monitor焊接质量检测* n+ u: p% U+ p; ZeBSD:Bond Stick Det

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!