数字系统的设计与实验实验报告

上传人:wuy****ng 文档编号:114844565 上传时间:2022-06-30 格式:DOC 页数:8 大小:46.50KB
收藏 版权申诉 举报 下载
数字系统的设计与实验实验报告_第1页
第1页 / 共8页
数字系统的设计与实验实验报告_第2页
第2页 / 共8页
数字系统的设计与实验实验报告_第3页
第3页 / 共8页
资源描述:

《数字系统的设计与实验实验报告》由会员分享,可在线阅读,更多相关《数字系统的设计与实验实验报告(8页珍藏版)》请在装配图网上搜索。

1、数 字 系 统 的 设 计 与 实 验 学院: 专业: 班级: 学号: 姓名 指导老师2013 年12月 10 日实验一 原码反码发生器一 实验目的:1、 掌握组合逻辑电路的基本设计方法。2、 学习波形仿真的方法。3、 加深对最简单的二进制原码、反码的理解,灵活运用基本的逻辑门。二 实验内容1、设计的电路应具备以下功能:A包含如下端口: 一个选择信号端口,一个8位二进制输入端口,一个原码/反码输出端口。 B. 选择信号的逻辑状态为0时输出原码;逻辑状态为1时输出反码。 2、完成电路设计。3、对设计的正确性进行验证。三 实验要求1、列出所要实现的功能的真值表。输入端口输出端口cin(十六进制)F

2、incout01H001H02H1FDH03H003H04H1FBH05H005H06H1F9H07H007H08H1F7H2、画出电路的逻辑图。3、编写用VHDL语言描述的源程序。library ieee;use ieee.std_logic_1164.all;entity shiyan1 isport (cin : in std_logic_vector(7 downto 0); fin : in std_logic; cout: out std_logic_vector(7 downto 0);end shiyan1;architecture behave of shiyan1 isbe

3、ginprocess(fin)begincase fin iswhen 1 = cout cout null;end case;end process;end behave;4、 在MAX 软件平台上完成编译和功能仿真。一、信号端口为0时二、信号端口为1总结:经过上个实验后,对maxplu件有了一定了解,对于 VHDL也更加熟悉,首先构造真值表,画出逻辑电路图,然后编写程序生成仿真波形图。在编写程序的时候也出现了一些错误,比如是将单个字符用双引号,结果编译通不过。老是报错。后来才检查出来。实验 二 4舍5入电路一 实验目的:1、掌握组合逻辑电路的基本设计方法。2、熟练运用真值表。二 实验内容1

4、、设计的电路应具备以下功能:A包含如下端口: 一个4位二进制输入端口,一个进位输出端口。 B. 即当输入的数X大于或等于5时,进位输出端口输出F为1,反之,输出为0。 2、完成电路设计。3、对设计的正确性进行验证。三 实验要求1、编写用VHDL语言描述的源程序。library ieee;use ieee.std_logic_1164.all;entity shiyan2 isport (cin : in std_logic_vector(3 downto 0); f : out std_logic);end shiyan2;architecture behave2 of shiyan2 isb

5、eginprocess(cin)begincase cin iswhen 0000 = f f f f f f f f f f null;end case;end process;end behave2;2、在MAX 软件平台上完成编译和功能仿真。电路逻辑图如下:仿真结果如下:总结:根据提示中的真值表确定输入输出接口,编写程序实现仿真,便得到了以上的波形图,首先很容易知道,当输入的数大于等于5时就要进位,二F输出端便代表的是进位,因此F的取值只有0和1实验 三 四十六译码器一 实验目的:1、掌握组合逻辑电路的基本设计方法。2、熟练运用VHDL语言的顺序语句和并发语句。3、熟练运用MAX软件提供

6、的仿真功能。二 实验内容1、设计的电路应具备以下功能:A 包含如下端口: 一个使能信号输入端口,四个选择信号输入端口,十六个驱动信号输出端口。 B 当使能信号为高电平时,对于四个选择信号组成的任意一组状态,十六个驱动信号中有一个且仅有一个有效的高电平输出。 当使能信号为低电平时,十六个驱动信号全部输出低电平。2、完成电路设计。3、对设计的正确性进行验证。三 实验要求1、编写用VHDL语言描述的源程序library ieee;use ieee.std_logic_1164.all;entity shiyan3 isport (G : in std_logic;A : in std_logic_v

7、ector(3 downto 0);Y : out std_logic_vector(15 downto 0);end shiyan3;architecture behave3 of shiyan3 isbeginprocess(G, A)beginfor i in 0 to 15 loopY(i) Y(0) Y(1) Y(2) Y(3) Y(4) Y(5) Y(6) Y(7) Y(8) Y(9) Y(10) Y(11) Y(12) Y(13) Y(14) Y(15) null;end case;elsefor i in 0 to 15 loopY(i) = 0;end loop;end if;end process;end behave3;2、 在MAX 软件平台上完成编译和功能仿真。电路逻辑图如下:仿真效果如下:总结:我是参照三八译码器来设计的,先构造真值表,然后编写程序,程序在编译的时候遇到了特别多的错误,不过经过调试也成功的生成了正确的波形图。加深了对VHDl的了解,和对maxplus的认识。

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!