PCB行业专业词汇大全

上传人:沈*** 文档编号:114292584 上传时间:2022-06-28 格式:DOC 页数:75 大小:463.04KB
收藏 版权申诉 举报 下载
PCB行业专业词汇大全_第1页
第1页 / 共75页
PCB行业专业词汇大全_第2页
第2页 / 共75页
PCB行业专业词汇大全_第3页
第3页 / 共75页
资源描述:

《PCB行业专业词汇大全》由会员分享,可在线阅读,更多相关《PCB行业专业词汇大全(75页珍藏版)》请在装配图网上搜索。

1、PCB行业专业词汇大全-马建整理* Process Module 說明 :A。 下料 ( Cut Lamination) a-1 裁板 ( Sheets Cutting) a-2 原物料發料 (Panel)(Shear material to Size)B. 鑽孔 (Drilling) b1 內鑽 (Inner Layer Drilling ) b-2 一次孔(Outer Layer Drilling ) b3 二次孔 (2nd Drilling) b4 雷射鑽孔 (Laser Drilling )(Laser Ablation ) b5 盲(埋)孔鑽孔 (Blind & Buried Hol

2、e Drilling)C。 乾膜製程 ( Photo Process(D/F)) c1 前處理 (Pretreatment) c-2 壓 膜 (Dry Film Lamination) c-3 曝 光 (Exposure) c4 顯 影 (Developing) c-5 蝕銅 (Etching) c6 去膜 (Stripping) c-7 初檢 ( Touch-up) c8 化學前處理,化學研磨 ( Chemical Milling ) c9 選擇性浸金壓膜 (Selective Gold Dry Film Lamination) c-10 顯 影(Developing ) c-11 去膜(S

3、tripping )D. 壓 合 Lamination d-1 黑 化 (Black Oxide Treatment) d-2 微 蝕 (Microetching)d3 鉚釘組合 (eyelet ) d4 疊板 (Lay up) d-5 壓 合 (Lamination) d6 後處理 (Post Treatment) d-7 黑氧化 ( Black Oxide Removal ) d8 銑靶 (spot face) d-9 去溢膠 (resin flush removal)E. 減銅 (Copper Reduction) e1 薄化銅(Copper Reduction)F。 電鍍 (Horiz

4、ontal Electrolytic Plating) f-1 水平電鍍 (Horizontal Electro-Plating) (Panel Plating) f2 錫鉛電鍍 ( Tin-Lead Plating ) (Pattern Plating) f3 低於 1 mil ( Less than 1 mil Thickness ) f-4 高於 1 mil ( More than 1 mil Thickness) f5 砂帶研磨 (Belt Sanding) f6 剝錫鉛 ( Tin-Lead Stripping) f-7 微切片 ( Microsection)G. 塞孔 (Plug

5、Hole) g1 印刷 ( Ink Print ) g2 預烤 (Precure) g3 表面刷磨 (Scrub) g4 後烘烤 (Postcure)H. 防焊(綠漆): (Solder Mask) h-1 C面印刷 (Printing Top Side) h-2 S面印刷 (Printing Bottom Side) h3 靜電噴塗 (Spray Coating) h4 前處理 (Pretreatment) h5 預烤 (Precure) h-6 曝光 (Exposure) h7 顯影 (Develop) h8 後烘烤 (Postcure)h9 UV烘烤 (UV Cure) h10 文字印刷

6、 ( Printing of Legend ) h-11 噴砂 ( Pumice)(Wet Blasting) h-12 印可剝離防焊 (Peelable Solder Mask)I . 鍍金 Gold plating i1 金手指鍍鎳金 ( Gold Finger ) i-2 電鍍軟金 (Soft Ni/Au Plating) i3 浸鎳金 ( Immersion Ni/Au) (Electroless Ni/Au) J。 噴錫 (Hot Air Solder Leveling) j-1 水平噴錫 (Horizontal Hot Air Solder Leveling) j-2 垂直噴錫 (

7、 Vertical Hot Air Solder Leveling) j3 超級焊錫 (Super Solder ) j-4. 印焊錫突點 (Solder Bump)K. 成型 (Profile)(Form) k-1 撈型 (N/C Routing ) (Milling) k2 模具沖 (Punch) k-3 板面清洗烘烤 (Cleaning & Backing) k4 V型槽 ( V-Cut)(VScoring) k5 金手指斜邊 ( Beveling of G/F)L。 短斷路測試 (Electrical Testing) (Continuity Insulation Testing) l

8、1 AOI 光學檢查 ( AOI Inspection) l2 VRS 目檢 (Verified Repaired) l3 汎用型治具測試 (Universal Tester) l4 專用治具測試 (Dedicated Tester) l5 飛針測試 (Flying Probe)M。 終檢 ( Final Visual Inspection) m-1 壓板翹 ( Warpage Remove) m2 XOUT 印刷 (X-Out Marking) m-3 包裝 及出貨 (Packing shipping)m4 目檢 ( Visual Inspection) m-5 清洗 及烘烤( Final

9、Clean & Baking) m-6 護銅劑 (ENTEK Cu-106A)(OSP)m7 離子殘餘量測試 (Ionic Contamination Test )(Cleanliness Test) m8 冷熱衝擊試驗 (Thermal cycling Testing) m9 焊錫性試驗 ( Solderability Testing )N。 雷射鑽孔(Laser Ablation) N-1 雷射鑽Tooling孔 (Laser ablation Tooling Hole) N-2 雷射曝光對位孔(Laser Ablation Registration Hole) N-3 雷射Mask製作(

10、Laser Mask) N4 雷射鑽孔(Laser Ablation) N-5 AOI 檢查及VRS ( AOI Inspection & Verified & Repaired) N-6 Blaser AOI (after Desmear and Microetching) N-7 除膠渣 (Desmear) N-8 微蝕 (Microetching )A/W (artwork) 底片 Ablation 燒溶(laser),切除abrade 粗化 abrasion resistance 耐磨性absorption 吸收 ACC ( accept ) 允收accelerated corrosi

11、on test 加速腐蝕accelerated test 加速試驗acceleration 速化反應accelerator 加速劑acceptable 允收activator 活化液active work in process 實際在製品adhesion 附著力adhesive method 黏著法air inclusion 氣泡air knife 風刀 amorphous change 不定形的改變amount 總量amylnitrite 硝基戊烷analyzer 分析儀anneal 回火annular ring 環狀墊圈;孔環anode slime (sludge) 陽極泥anodizin

12、g 陽極處理 AOI ( automatic optical inspection )自動光學檢測applicable documents 引用之文件 AQL sampling 允收水準抽樣aqueous photoresist 液態光阻aspect ratio 縱橫比(厚寬比)As received 到貨時 back lighting 背光 backup 墊板 banked work in process 預留在製品base material 基材 baseline performance 基準績效batch 批 beta backscattering 貝他射線照射法beveling 切斜邊

13、;斜邊 biaxial deformation 二方向之變形black-oxide 黑化 blank controller 空白對照組blank panel 空板 blanking 挖空blip 彈開 blister 氣泡;起泡blistering 氣泡 blow hole 吹孔board-thickness error 板厚錯誤 bonding plies 黏結層bow ; bowing 板彎 break out 從平環內破出bridging 搭橋;橋接 BTO (Build To Order) 接單生產burning 燒焦 burr 毛邊(毛頭)camcorder 一體型攝錄放機 carb

14、ide 碳化物carlson pin 定位梢 carrier 載運劑catalyzing 催化 catholic sputtering 陰極濺射法caul plate 隔板;鋼板calibration system requirements 校驗系統之各種要求center beam method 中心光束法 central projection 集中式投射線certification 認證 chamfer 倒角 (金手指)chamfering 切斜邊;倒角characteristic impedance 特性阻抗charge transfer overpotential 電量傳遞過電壓chas

15、e 網框checkboard 棋盤 chelator 蟹和劑chemical bond 化學鍵chemical vapor deposition 化學蒸著鍍circumferential void 圓周性之孔破clad metal 包夾金屬clean room 無塵室clearance 間隙coat 鍍外表coating error 防焊覆蓋錯誤coefficient of thermal expansion (CTE) 熱澎脹系數cold solder joint 冷焊點coldweld 金屬粉末冷焊color 顏色color error 顏色錯誤compensation 補償competi

16、tive performance競爭力績效complex salt 錯化物complexor 錯化物component hole 零件孔component side 零件面concentric 同心conformance 密貼性 consumer products 消費性產品contact resistance 接觸電阻continuous performance 連續發揮效能contract service 協力廠controlled split 均裂式conventional flow 亂流方式conventional tensile test 傳統張力測試法conversion coat

17、ing 轉化層convex 突出coordinate list 資料清單copper claded laminates (CCL) 銅箔基板copper exposure 線路露銅copper mirror 鏡銅copper pad 銅箔圓配copper residue (copper splash) 銅渣corrosion rate numbering 腐蝕速率計數系統corrosion resistance 抗蝕性coulombs law 庫倫定律countersink 喇叭孔coupon 試樣 coupon location 試樣點covering power 遮蓋力CPU 中央處理器c

18、rack 破裂;裂痕crazing 裂痕;白斑cross linking 交聯聚合cross talk 呼應作用crosslinking 交聯 crystal collection 結晶收集curing 聚合體 current efficiency 電流效率cutouts 挖空 cutting 裁板cyanide 氰化物 cycles of learning 學習循環cycletime reduction 交期縮短 date code 週期deburring 去毛頭 dedicated 專用型degradation 退變 delamination 分層dent / pin hole 凹陷 /

19、針孔 department of defense 國防部designation 字碼簡示法 desmear 除膠渣developing 顯影 dewetting 縮錫dewetting time 縮錫時間 dimension error 外形尺寸錯誤dielectric constant 介質常數 difficulty 困難度difunctional 雙功能 dimension 尺寸dimension stability 尺寸安定性 dimensional stability 尺度安定性dimension and tolerance尺寸與公差dirty hole 孔內異物discolor ho

20、le 孔黑;孔灰;氧化 discoloration 變色disposable eyelet method 消耗性鉚釘法distortion factor 尺寸變形函數double side 雙面板 downtime 停機時間drill 鑽孔 drill bit 鑽頭drill facet 鑽尖切萷面drill pointer 鑽尖重(研)磨機drilled blank board 已鑽孔之裸板drilling 鑽孔dry film 乾膜 ductility 延展性economy of scale 經濟規模 edge spacing 板邊空地edge-board contact ( gold f

21、inger ) 金手指efficiency 能量效率electric test 電測 electrical testing 電測;測試electrochemical machine ECM 電化學加工法electrochemical reactor 電化學反應器electroforming 電鑄 electroless plate 化學銅electrolessdeposition 無電鍍electropolishing 電解拋光electrorefining 電解精鍊electrowinning 電解萃取elliptical set 橢圓形 embrittlement 脆性entitlemen

22、t performance可達成績效entrapment 電鍍夾雜物epoxy 環氧樹酯equipotential 電位線error data file 異常情形 etch rate 蝕銅速率etchants 蝕刻液 etchback 回蝕evaluation program 評估用程式exposure 曝光external pin method 外部插梢法eyelet hole 鉚釘孔Eyeletting 鉚眼 fabric 網布failure 故障fast response 快速回應fault 瑕庛;缺陷 fiber exposure 纖維顯露fiber protrusion 纖維突出 f

23、iducial mark 光學點,基準記號filler 填充料 film 底片filtration 過濾 finished board 成品fixing 固著 fixture 電測夾具(治具)flaking off 粹離 flammability rating 燃性等級flare 喇叭形孔 flat cable 併排電纜feedback loop 回饋循環 firstinfirstout (FIFO) 先進先出flexible manufacturing system (FMS) 彈性製造系統flux 助焊劑 foil distortion 銅層變形fold 空泡 foreign includ

24、e 異物foreign material 基材內異物 free radical chain polymerization 自由基連鎖聚合fully additive 加成法 fully annealed type 徹底回火軔化之類形function 函數 fundamental and basic 基本fungus resistance 抗黴性funnel flange 喇叭形摺翼galvanized 加法尼化製程 gap 鑽尖分開gauge length 有效長度 gel time 膠化時間general resist ink 一般阻劑油墨 general 通論general industr

25、ial 一般性(電子)工業級geometrical levelling 幾何平整glass transition temperature (Tg) 玻璃態轉換溫度Gold 金 gold finger 金手指gold plating 鍍金 golden board 標準板gouges 刷磨凹溝gouging 挖破grain boundary 金屬晶體之四邊green 綠色grip 夾頭 ground plane 接地層ground plane clearance 接地空環 hackers 駭客HAL ( hot air leveling ) 噴錫 haloing 白邊;白圈hardener 硬化

26、劑hardness 硬度hepa filter 空氣濾清器 high performance industrial 高性能(電子)工業級high reliability 高可靠度high resolution 高解析度high temperature elongation (HTE) 高溫延展性銅箔high temperature epoxy (HTE) 高溫樹酯hit 擊 hole counter 數孔機hole diameter 孔徑 hole diameter error 孔徑錯誤hole location 孔位 hole number 孔數hole wall quality 孔壁品質

27、hook 外弧hot dip 熱浸法 hull cell 哈氏槽hybrid 混成積體電路 hydrogen bonding 氫鍵hydrolysis 水解 hydrometallurgy 濕法冶金法image analysis system 影像分析系統 image transfer 影像轉移immersion gold 浸金 (化鎳金) immersion plating 浸鍍法impedance 阻抗 infrared reflow 紅外線重熔inhibitor 熱聚合抑制劑 injection mold 射模ink 油墨 innerlayer & outlayer 內外層insulat

28、ion resistance 絕緣電阻 intended position 應該在的位置intensifier 增強器intensity 強度inter molecular exchange 交互改變interconnection 互相連通ionic contaminants 離子性污染物 ionic contamination testing 離子污染試驗IPA 異丙醇 inspiration (啟蒙)identification 確認計劃目標implementation 改善方案information 數據 internalization 制度化invisible inventory 無形

29、的庫存knife edges 刀緣Knoop 努普(硬度單位)kraft paper 牛皮紙laminar flow 層流 laminate 基層板laminating 壓合 lamination 壓合laminator 壓膜機land 焊墊lay back 刃角磨損lay up 組合疊板layout 佈線;佈局lead screw 牽引螺絲leakage 漏電 learning curve 學習曲線legend 文字標記leveling 平整levelling additive 平整劑levelling power 平整力life support 維繫生命 limiting current

30、極限電流line space 線距 line width 線寬linear variable differential transformer(LVDL) 線性可變差動轉換器liquid 液狀(態) liquid crystal resins 液晶樹脂liquid photoimageable solder resist ink液態感光防焊油墨liquid photoresist ink 液態光阻劑油墨lot size 批量lower carrier 底部承載板mechanical plating 機祴鍍法machine scrub 刷磨清潔法 macrothrowing power 巨分佈力

31、margin 鑽頭刃帶 market share 市場佔有率marking error 文字錯誤 masked leveling 儰裝平整mass lamination 大型壓板 mass transfer 質量傳送效應mass transfer overpotential 質量傳遞過電壓mass transportation 質傳 master drawing 主圖;藍圖 material use factor 材料使用率 mealing 泡點;白點 memory 記憶裝置meniscograph solderability measurement 新月型焊錫效果microetch 微蝕 m

32、icroetching 微蝕microfocus 微焦距 microfocus system 微焦距系統microprofile 微表面 microsectioning 微切片法microthrowing power 微分佈力 migration 遷移mini-tensile tester 迷你拉力測試儀mis hole location 孔位錯誤misregistration 焊錫面與零件面對位偏差misregsitration 對不準moisture and insulation resistance test濕氣與絕緣電阻試驗molded circuit board (MCB)模製電路板

33、 monoethanal amine 單乙醇氨monohydrate state 水化物 monomer 單分子膜;單體mouse bite 鋸齒;蝕刻缺口msec 毫秒muffle furnace 高溫焚火爐multichip 超大IC型(多晶片模組) mylar 保護膜nail head 釘頭NC drill 數位鑽孔機 negative etchback 反回蝕negative film 負片 negative rake angle 負摳耙角network 迴路;網路 neutralization 中和nick 缺口 nickel 鎳nodule 銅瘤;瘤粒 no flow resin

34、不流 樹脂noise 雜訊 nominal 標示nominal dimension 標定長度 nominal gel time 標示膠性時間nominal resin content 標示膠含量 nominal resin flow 標示膠流量nominal scaled flow thickness標示比例流量厚度OA equip 辦公室自動化設備obsolescence factor 報廢因素 OEM 原設備製造商offset-list 補償數據清單 ohmmeter 歐姆計open 斷路 open circuits 斷路open short testing 斷短路測試 opening 開

35、口original art work (A/W) 原稿底片 Others 其它outgrowth 增出 over design 牛刀殺雞overlap 鑽尖重疊 overlay entry 蓋板overpotential 過電壓 oxidation 氧化oxide treatment 黑化處理 oxided cytochrome 氧化性之細包色素oxygen evolution 氧氣發生反應 packed bed 充填床式pad 錫墊;圓配 pad copper exposure pad 露銅panel 小型板面;母板 panel plating 一次銅電鍍parasitic 寄生的 part

36、 no。 料號pattern plating 二次銅電鍍PCB ( print circuit board )印刷電路板pcs 片 peel strength 抗撕強度peeling off 剝離(剝落) performance specification性能規範permittivity 透電率 perspectives on experience經驗透視PET 聚酯 photodiode detector發光二極體偵測器photo initiator 感光啟始劑photoresist 光阻phototool 光具(指工作底片)piece 子板面pinceton applied researc

37、h 腐蝕測定儀pink ring 粉紅圈pit 凹點 pitch 腳距planar 平面 plating 電鍍plating exposure 下鍍層露出 plug gauge 插規plug hole 孔塞 PNL (panel) 排板polar-polar interaction 極性之間的吸力polyester 聚酯類polyglycols 聚乙二醇 polyimide 聚亞醯氨poor bevelling 磨邊加工引起突起,剝離 poor drill 孔形不良poor HAL 噴錫不良poor marking 字體不良poor pad 錫墊不良poor printed 印刷偏差poor

38、solderability 焊錫性不良poor touchup 補線不良position control system 位置控制系統 positive rake angle 正摳耙角power curve model 幕次曲線模式 practice 工藝慣例preferred 良好 premature tearing 提前撕裂prepolymer 預聚合物prepreg 膠片preprocess ( frontend) 製前press 壓床press cycle 壓合週期primary current distribution 一次電流分佈primary 主要 product lifetimes 生命週期product process 製程 promoter 促進劑protocal 初步資料prussic acid 普魯士酸P

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!