乒乓球比赛游戏机设计总结报告

上传人:xt****7 文档编号:113189786 上传时间:2022-06-24 格式:DOC 页数:24 大小:221.50KB
收藏 版权申诉 举报 下载
乒乓球比赛游戏机设计总结报告_第1页
第1页 / 共24页
乒乓球比赛游戏机设计总结报告_第2页
第2页 / 共24页
乒乓球比赛游戏机设计总结报告_第3页
第3页 / 共24页
资源描述:

《乒乓球比赛游戏机设计总结报告》由会员分享,可在线阅读,更多相关《乒乓球比赛游戏机设计总结报告(24页珍藏版)》请在装配图网上搜索。

1、现代电子与系统设计总结报告项目名称: 乒乓球比赛游戏机班 级: 物科院1005姓 名: 周* 沈*学 号: 071005* 071005*指导老师: 倪*提交日期: 2012/12/23封面1一、设计要求3二、设计的具体实现.31、系统框图.32、甲乙方得分显示模块.43、加减计数模块.64、译码模块.85、控制模块.96、核心问题.12三、结果分析.15四、附件161、完整电路图.162、各个自制元件的VHDL程序.16一、设计要求设计一个乒乓球比赛游戏机(1)设计一个由甲乙双方参加,有裁判的三人乒乓球游戏机;(2)用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,

2、期中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左,其移动的速度应能调节;(3)当“球”(点亮的那支LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球,若击中则球向相反方向移动,若未击中,球掉出桌外,则对方得一分;(4)设计自动计分电路,甲乙双方各用两位数码管进行计分显示,每记满11分为1局;(5)甲乙双方各设一个发光二极管表示拥有发球权,每隔2次自动交换发球权,拥有发球权的一方发球才有效;(6)其他。二、设计的具体实现1、系统框图此系统框图分为控制模块,加/减计数模块,译码显示模块和甲乙方得分显示模块。2、甲乙方得分显示模块

3、甲乙双方各用两位数码管进行计分显示,通过控制模块加以控制。甲乙得分的计数:图形:VHDL语言:LIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY jifen ISPORT(reset : IN STD_LOGIC;clk : IN STD_LOGIC;q : buffer STD_LOGIC_VECTOR(3 downto 0);END jifen;ARCHITECTURE jifen_architecture OF jifen ISBEGIN process(clk,reset) be

4、gin if(reset=0) then q=0000; elsif(clkevent and clk=1) then if(q=1011) then q=1011; else q y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=0110000;y0 y1=0110000;y0=0110000; end case; end process; END xians

5、hi_architecture;甲乙方得分显示模块图形输入为:3、加减计数模块通过的取值实现加或者减的计数。图形:说明:ud=1时,计数器进行减计数;ud=0时,计数器进行加计数;s=0时,计数器正常工作;s=1时,计数器停止工作;reset=1时,计数器正常计数;reset=0时,计数器置数操作。VHDL语言:LIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY jishu ISPORT(ud : IN STD_LOGIC;s : IN STD_LOGIC;reset : IN STD_

6、LOGIC;d3,d2,d1,d0 : IN std_logic;clk : IN STD_LOGIC;q : buffer STD_LOGIC_VECTOR(3 downto 0);END jishu;ARCHITECTURE jishu_architecture OF jishu ISBEGIN process(ud,s,reset,clk) begin if(reset=0) then q(3)=d3; q(2)=d2; q(1)=d1; q(0)=d0; else if(s=1) then q=q; else if(clkevent and clk=1) then if(ud=1) t

7、hen if(q=0000) then q=1001; else q=q-1; end if; else if(q=1001) then q=0000; else q=q+1; end if; end if; else q y y y y y y y y y y=1000000000; end case; end process; END yima_architecture;5、控制模块1、设置甲乙两方击球脉冲信号in1、in2,甲方击球信号使得加减计数器加法计数,乙方击球信号使得加减计数器减法计数,译码模块输出端Y1-Y8接LED模拟乒乓球的轨迹,Y0、Y9为球掉出桌外信号,控制模块实现移位

8、方向的控制。2、设置发球权拥有显示信号S1、S2,控制模块使每两次交换发球权。3、设置捡球信号reset1,通过加减计数模块的异步置数端实现捡球,当甲方拥有发球权时,捡球信号将球放到Y1;乙方拥有发球权时,捡球信号将球放到Y8。4、对甲、乙双方的得分进行检测,只要有一方的得分达到11,则一局结束。5、设置裁判复位信号reset,在每局结束后将双方得分清零。控制模块与译码模块和加减计数模块的连接:部分控制模块中VHDL语言及图形:1、jishu2LIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENT

9、ITY jishu2 ISPORT(clk : IN STD_LOGIC;q : buffer STD_LOGIC_VECTOR(1 downto 0);END jishu2;ARCHITECTURE jishu2_architecture OF jishu2 ISBEGIN process(clk) begin if(clkevent and clk=1) then if(q=11) then q=00; else q=q+1; end if; end if; end process;END jishu2_architecture;2、xuanzeLIBRARY ieee;USE ieee.

10、std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY xuanze ISPORT(a : IN STD_LOGIC;q1: in std_logic;q2: in std_logic;q : out STD_LOGIC);END xuanze;ARCHITECTURE xuanze_architecture OF xuanze ISBEGIN process(a) begin if(a=1) then q=q2; else q=q1; end if; end process;END xuanze_architecture;3、dch

11、ufaqiLIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY dchufaqi ISPORT(d : IN STD_LOGIC;clk : IN STD_LOGIC;q : buffer STD_LOGIC);END dchufaqi;ARCHITECTURE dchufaqi_architecture OF dchufaqi ISBEGIN process(clk) begin if(clkevent and clk=0) then q=d; else q=q; end if; end

12、 process;END dchufaqi_architecture;6、核心问题1、由于实验箱上的频率为50MHz,译码器输出变化太快,显示在实验箱上的8个LED闪亮变化太快,以致无法识别。因此需要降低频率后在接到加减计数模块的clk端。图形:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity yanshi is port (clk: in std_logic;y: buffer std_logic_vector(24 downto 0) );end yanshi;architectu

13、re behave of yanshi isVHDL语言: begin process(clk) begin if(clkevent and clk=1) then y=0000000000000000000000000; else y=y+1; end if; end if; end process;end behave;2、在数码管上动态显示甲乙双方的得分。动态显示模块:1、dongtaixianshi1的VHDL语言:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dongta

14、ixianshi1 is port ( clk: IN STD_LOGIC;y: buffer std_logic_vector(1 downto 0) );end dongtaixianshi1; architecture behave of dongtaixianshi1 is begin process(clk) begin if(clkevent and clk=1) then if(y=11) then y=00; else y y=yjia1;pianxuan y=yjia0;pianxuan y=yyi1;pianxuan y=yyi0;pianxuan=1110; end ca

15、se; end process;end behave;三、结果分析注:本实验采取实际测试的方法。采用Altera新一代的MAX器件EPM570T100C5。 程序下载方法采用ByteBlaster。1、分配引脚:符号分配引脚名称备注in1pin_27K201选手甲,按下即为击球。in2pin_30K204选手乙,按下即为击球。resetpin_33S205裁判,拨盘开关拨到左边即为将双方得分清零。clkpin_62CLK时钟信号。reset1pin_34S206裁判,拨盘开关先拨到左边后拨到右边即为分配发球权。y18pin_50D208Y18.1连到实验箱的8个LED。当Y18亮时,要求乙迅速

16、击球,当Y11亮,要求甲迅速击球。若击中,点亮的LED会依次从左到右或从右到左;若未击中,球跳出桌外,对方得一分。y17pin_49D207y16pin_48D206y15pin_47D205y14pin_42D204y13pin_41D203y12pin_40D202y11pin_38D201y6pin_81a显示计分y5pin_82by4pin_83cy3pin_84dy2pin_85ey1pin_86fy0pin_87gpianxuan3pin_91S0pianxuan2pin_92S1pianxuan1pin_99S6pianxuan0pin_100S72、分析:(1)经测试,完全符合

17、要求。(2)上述设计的乒乓球比赛游戏机用到了自下而上的层次化设计方法,用到了VHDL语言设计输入方法和原理图设计输入方法。(3)由调节晶振产生的时钟脉冲信号的频率,可以调节球的运动速度。四、附件1、完整电路图2、各个自制元件的VHDL程序(1)dchufaqiLIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY dchufaqi ISPORT(d : IN STD_LOGIC;clk : IN STD_LOGIC;q : buffer STD_LOGIC);END dchufaqi;ARC

18、HITECTURE dchufaqi_architecture OF dchufaqi ISBEGIN process(clk) begin if(clkevent and clk=0) then q=d; else q=q; end if; end process;END dchufaqi_architecture;(2)dongtaixianshi1library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dongtaixianshi1 is port ( clk: IN STD_LOGI

19、C;y: buffer std_logic_vector(1 downto 0) );end dongtaixianshi1; architecture behave of dongtaixianshi1 is begin process(clk) begin if(clkevent and clk=1) then if(y=11) then y=00; else y y=yjia1;pianxuan y=yjia0;pianxuan y=yyi1;pianxuan y=yyi0;pianxuan=1110; end case; end process;end behave;(4)fenpin

20、library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin is port ( clk: in std_logic; clk1: out std_logic );end fenpin; architecture behave of fenpin issignal cnt1: std_logic_vector(25 downto 0);begin process(clk) begin if(clkevent and clk=1) then cnt1=cnt1+1; end if; e

21、nd process; clk1=cnt1(15);end behave;(5)jifenLIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY jifen ISPORT(reset : IN STD_LOGIC;clk : IN STD_LOGIC;q : buffer STD_LOGIC_VECTOR(3 downto 0);END jifen;ARCHITECTURE jifen_architecture OF jifen ISBEGIN process(clk,reset) begi

22、n if(reset=0) then q=0000; elsif(clkevent and clk=1) then if(q=1011) then q=1011; else q=q+1; end if; end if; end process;END jifen_architecture;(6)jishuLIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY jishu ISPORT(ud : IN STD_LOGIC;s : IN STD_LOGIC;reset : IN STD_LOGI

23、C;d3,d2,d1,d0 : IN std_logic;clk : IN STD_LOGIC;q : buffer STD_LOGIC_VECTOR(3 downto 0);END jishu;ARCHITECTURE jishu_architecture OF jishu ISBEGIN process(ud,s,reset,clk) begin if(reset=0) then q(3)=d3; q(2)=d2; q(1)=d1; q(0)=d0; else if(s=1) then q=q; else if(clkevent and clk=1) then if(ud=1) then

24、if(q=0000) then q=1001; else q=q-1; end if; else if(q=1001) then q=0000; else q=q+1; end if; end if; else q=q; end if; end if; end if; end process;END jishu_architecture;(7)jishu2LIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY jishu2 ISPORT(clk : IN STD_LOGIC;q : buff

25、er STD_LOGIC_VECTOR(1 downto 0);END jishu2;ARCHITECTURE jishu2_architecture OF jishu2 ISBEGIN process(clk) begin if(clkevent and clk=1) then if(q=11) then q=00; else q y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=111

26、1110;y0 y1=0110000;y0 y1=0110000;y0=0110000; end case; end process; END xianshi_architecture;(8)xuanzeLIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY xuanze ISPORT(a : IN STD_LOGIC;q1: in std_logic;q2: in std_logic;q : out STD_LOGIC);END xuanze;ARCHITECTURE xuanze_arc

27、hitecture OF xuanze ISBEGIN process(a) begin if(a=1) then q=q2; else q=q1; end if; end process;END xuanze_architecture;(9)yimaLIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY xuanze ISPORT(a : IN STD_LOGIC;q1: in std_logic;q2: in std_logic;q : out STD_LOGIC);END xuanze

28、;ARCHITECTURE xuanze_architecture OF xuanze ISBEGIN process(a) begin if(a=1) then q=q2; else q=q1; end if; end process;END xuanze_architecture;(10)yanshilibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity yanshi is port (clk: in std_logic;y: buffer std_logic_vector(24 downto 0) );end yanshi; architecture behave of yanshi is begin process(clk) begin if(clkevent and clk=1) then y=0000000000000000000000000; else y=y+1; end if; end if; end process;end behave;

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!