组合电路的分析与设计vPPT学习教案

上传人:英*** 文档编号:107549140 上传时间:2022-06-14 格式:PPTX 页数:144 大小:1.10MB
收藏 版权申诉 举报 下载
组合电路的分析与设计vPPT学习教案_第1页
第1页 / 共144页
组合电路的分析与设计vPPT学习教案_第2页
第2页 / 共144页
组合电路的分析与设计vPPT学习教案_第3页
第3页 / 共144页
资源描述:

《组合电路的分析与设计vPPT学习教案》由会员分享,可在线阅读,更多相关《组合电路的分析与设计vPPT学习教案(144页珍藏版)》请在装配图网上搜索。

1、会计学1组合电路的分析与设计组合电路的分析与设计v组合逻辑组合逻辑电电 路路X1X2XmF1F2Fn输入:输入:X1, X2 , , Xm输出:输出:F1, F2 , , Fn)X,.,X,(XF)X,.,X,(XF )X,.,X,(XFm21nm2122m2111nfff逻辑关系:逻辑关系:组合逻辑电路组合逻辑电路: 输出跟随输入的变化。输出跟随输入的变化。 组合电路的特点组合电路的特点: 电路中不包含记忆元件电路中不包含记忆元件. 输出无反馈到输入的回路输出无反馈到输入的回路. 任意时刻任意时刻, 电路的输出仅取决于当电路的输出仅取决于当时时 的输入的输入. 第1页/共144页时序逻辑电路

2、时序逻辑电路: 输出由输出由当前输入当前输入和和历史输入历史输入决定。决定。组合逻辑组合逻辑电电 路路X1XmF1Fn记忆记忆元件元件第2页/共144页组合逻辑电路图逻辑表达式实验分析函数化简真值表说明电路功能n从输入级开始从输入级开始,逐级写出门的逻辑表达式逐级写出门的逻辑表达式n对表达式进行化简对表达式进行化简n列写真值表列写真值表n描述电路的逻辑功能描述电路的逻辑功能第3页/共144页第4页/共144页图图3.2.1 组合电路逻辑图组合电路逻辑图 解:解:该电路为二级组合电路。该电路为二级组合电路。 组合电路的级数组合电路的级数是指输入信号从输入端到输出端所经历的是指输入信号从输入端到输

3、出端所经历的逻辑门数的最大数目。逻辑门数的最大数目。(1) 写出电路的逻辑表达式写出电路的逻辑表达式BABA )BAB)(A ABBAF(2) 描述电路的逻辑功能描述电路的逻辑功能 该函数表达式比较简单,不用列真值该函数表达式比较简单,不用列真值表,由表达式可知此电路是一个异或电路表,由表达式可知此电路是一个异或电路。 第5页/共144页(1) 写出电路的逻辑表达式写出电路的逻辑表达式(2) 列写真值表列写真值表ACBCAB ACBCABF A B C F0 0 0 00 0 1 00 1 0 00 1 1 11 0 0 01 0 1 11 1 0 11 1 1 1真值表真值表(3) 描述电路

4、的逻辑功能描述电路的逻辑功能 因此,该电路为因此,该电路为少数服从多数少数服从多数电路,也称多数表决电路。电路,也称多数表决电路。多数输入变量为多数输入变量为1,输出,输出F为为1;多数输入变量为多数输入变量为0,输出,输出 F为为0F1F2F3第6页/共144页图图3.2.3 混合逻辑逻辑图混合逻辑逻辑图 CBADD A CBD)(A CBD)(AFCBDCADBA CBDAC)(B CBDACBF21 写出电路的逻辑表达式写出电路的逻辑表达式第7页/共144页01012123233BBGBB GBBGBG(1) 写出电路的逻辑表达式写出电路的逻辑表达式第8页/共144页0101212323

5、3BBGBB GBBGBG(1) 写出电路的逻辑表达式写出电路的逻辑表达式(2) 列写真值表列写真值表(3) 描述电路的逻辑功能描述电路的逻辑功能二进制码二进制码格雷码格雷码 B3B2B1B0 G3G2G1G0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 1 0 0 1 1 0 0 1 0 0 1 0 0 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 0 0 1 0 0 0 1 1 0 0 1 0 0 1 1 1 0 1 1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 0 1

6、1 0 0 1 0 1 0 1 1 0 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 1 1 0 0 0 自然二进制码到格雷码的自然二进制码到格雷码的转换电路。转换电路。第9页/共144页自然二进制码至格雷码的转换自然二进制码至格雷码的转换01012123233BBGBB GBBGBG推广到一般,将n位自然二进制码转换成n位格雷码: Gi = Bi Bi+1 (i = 0、1、2、 n-1)第10页/共144页第11页/共144页实 际逻辑问题逻辑表达式卡诺图公式化简真值表最简表达式图形化简逻辑电路图根据题目要求化简第12页/共144页 设计要求通常是用文字描述的具有一定因果

7、的一个事件,必设计要求通常是用文字描述的具有一定因果的一个事件,必须运用逻辑抽象的方法须运用逻辑抽象的方法抽象成一个逻辑问题抽象成一个逻辑问题。即将。即将起因定为逻辑起因定为逻辑变量变量,将,将结果定为输出函数结果定为输出函数;然后对;然后对逻辑赋值逻辑赋值,规定,规定0、1分别表分别表示意义,最后做出真值表。示意义,最后做出真值表。第13页/共144页例例: 设计一个汽车安全告警系统。设计一个汽车安全告警系统。 当驾驶员在车门没有关好,或当驾驶员在车门没有关好,或没有系安全带的情况下启动汽车时没有系安全带的情况下启动汽车时,该系统发出告警。该系统发出告警。解:解:(1) 由题意确定逻辑变量由

8、题意确定逻辑变量根据题意,该题的逻辑变量为:根据题意,该题的逻辑变量为: 启动开关启动开关 以变量以变量A表示表示 车门开关车门开关 以变量以变量B表示表示 系安全带系安全带 以变量以变量C表示表示逻辑函数逻辑函数 告警输出信号告警输出信号 以变量以变量F表示表示第14页/共144页(3) 列写真值表列写真值表解:解:(1) 由题意确定逻辑变量由题意确定逻辑变量根据题意,该题的逻辑变根据题意,该题的逻辑变量为:量为: 启动开关启动开关 以变量以变量A表示表示 车门开关车门开关 以变量以变量B表示表示 系安全带系安全带 以变量以变量C表示表示逻辑函数逻辑函数 告警输出信号告警输出信号 以变量以变

9、量F表示表示(2) 对逻辑变量赋值对逻辑变量赋值A = 1 启动开关在启动位启动开关在启动位置置B = 1 车门已关车门已关C = 1 系好安全带系好安全带F = 1 产生告警产生告警输输 入入A B C输输 出出F0 0 000 0 100 1 000 1 101 0 011 0 111 1 011 1 10第15页/共144页例例: 有四台设备有四台设备,每台功率为每台功率为10 kW, 发电机发电机F1的功率的功率 为为10 kW, F2的功率为的功率为20 kW, 四台设备不可能同四台设备不可能同 时工作时工作, 但至少有一台工作但至少有一台工作, 要求设计一个供电要求设计一个供电 控

10、制电路控制电路, 以达到节能的目的以达到节能的目的. 解解: (1) 根据题意作真值表根据题意作真值表 四台设备标识为四台设备标识为A,B,C,D, 其值为其值为1表示设备工作,表示设备工作,F1 = 1, 发电机发电机F1工作工作F2 = 1, 发电机发电机F2工作工作0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1 11010011001011 00101110111111 A B C D F1 F2真真 值值 表表

11、第16页/共144页 若输入信号源能提供原变量和反变量若输入信号源能提供原变量和反变量(双轨输入双轨输入),仅需两级,仅需两级逻辑门电路即可实现。逻辑门电路即可实现。MNCDAB MNCDAB MNCDABF两级与非门电路第17页/共144页解:解:0001111000011110 1 1 1 1 1 1 1 1 1 1 CDABF(1) 作函数的卡诺图作函数的卡诺图 BAACC A BAACC A BAACC AF(2) 求最简与或式求最简与或式,并变换,并变换(3) 画出逻辑图画出逻辑图第18页/共144页NMDCBA N)D)(MB)(C(A N)D)(MB)(C(AF两级或非门电路第1

12、9页/共144页解:解:0001111000011110 1 1 1 1 1 1 1 1 1 1 CDABF(1) 作函数的卡诺图作函数的卡诺图 C)BA()C (A C)BA)(C (A C)BA)(C (AF(2) 求最简或与式求最简或与式,并变换,并变换(3) 画出逻辑图画出逻辑图第20页/共144页n采用采用SSI芯片时的设计芯片时的设计第21页/共144页若“总圈数”减少,则改若“总圈数”增加,则不改若“总圈数”一样,则取最大的合并圈改变原圈法改变原圈法, 以求更多公共圈以求更多公共圈;(3) 写出多输出函数表达式写出多输出函数表达式, 绘制绘制逻辑电路图逻辑电路图.局部最优局部最优

13、局部最优局部优化局部优化局部优化整体最优第22页/共144页例例1: 用与非门实现下列多输出函数用与非门实现下列多输出函数 F1(A, B, C)= (0, 2, 3) F2(A, B, C)= (3, 6, 7) F3(A, B, C)= (3, 4, 5, 6, 7)解解: (1) 逻辑函数填入卡诺图逻辑函数填入卡诺图 CAB0100011110 1 1 1 F1CAB0100011110 1 1 1F2CAB0100011110 1 1 1 1 1F3BCABCAFBCABBCABFBAC ABAC AF321方案一第23页/共144页例例1: 用与非门实现下列多输出函数用与非门实现下列

14、多输出函数 F1(A, B, C)= (0, 2, 3) F2(A, B, C)= (3, 6, 7) F3(A, B, C)= (3, 4, 5, 6, 7)解解: (1) 逻辑函数填入卡诺图逻辑函数填入卡诺图 CAB0100011110 1 1 1 F1CAB0100011110 1 1 1F2CAB0100011110 1 1 1 1 1F3BCAABCAAFBCAABBCAABFBCAC ABCAC AF321方案二第24页/共144页例例1: 用与非门实现下列多输出函数用与非门实现下列多输出函数 F1(A, B, C)= (0, 2, 3) F2(A, B, C)= (3, 6, 7

15、) F3(A, B, C)= (3, 4, 5, 6, 7)解解: (1) 逻辑函数填入卡诺图逻辑函数填入卡诺图 (2) 化简逻辑函数化简逻辑函数 (3) 画出逻辑图画出逻辑图 BCAABCAAFBCAABBCAABFBCAC ABCAC AF321第25页/共144页例例2: 用与非门实现下列多输出函数。用与非门实现下列多输出函数。 F1(A,B,C,D)= (2,4,5,10,11,13) F2(A,B,C,D)= (4,10,11,12,13) F3(A,B,C,D)= (2,3,7,10,11,12) F4(A,B,C,D)= (0,1,4,5,8,9,10,11,12,13)第26页

16、/共144页解解: (1) 逻辑函数填入卡诺图逻辑函数填入卡诺图 第27页/共144页解解: (2) 化简逻辑函数化简逻辑函数 CBACFCDADCBCBADCABFCBACABDCBAFDCBDCBCBADCBAF4321(3) 画出逻辑图画出逻辑图 第28页/共144页例例: 设计一个两位二进制数码比较器设计一个两位二进制数码比较器 解解: (1) 确定逻辑变量,并赋值确定逻辑变量,并赋值 设设A=A1A0, B=B1B0, 根据题意,根据题意, 输入变量为输入变量为A、B;电路的输出;电路的输出 为比较结果:为比较结果: F11 表示表示 A B F21 表示表示 A = B F31 表

17、示表示 A B) 10 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1A1 A0 B1 B0 F1 F2 F300001000110011101000010000100001真真 值值 表表0111001100010000第30页/共144页(3) 逻辑函数的化简与变换逻辑函数的化简与变换0001111000011110 1 1 1 1 CDABF2 (A=B)0 0 0 00 0 0 10 0 1 00 0 1 10 1

18、 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1A1 A0 B1 B0 F1 F2 F300001000110011101000010000100001真真 值值 表表0111001100010000第31页/共144页(3) 逻辑函数的化简与变换逻辑函数的化简与变换0001111000011110 1 1 1 1 1 1 CDABF3 (AB)0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0

19、11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1A1 A0 B1 B0 F1 F2 F300001000110011101000010000100001真真 值值 表表0111001100010000第32页/共144页(3) 逻辑函数的化简与变换逻辑函数的化简与变换)B (ABABAF)B )(AB (AF)B (ABABAF1100113001121100111 312FFF(4) 作逻辑电路图作逻辑电路图0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0

20、1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1A1 A0 B1 B0 F1 F2 F300001000110011101000010000100001真真 值值 表表0111001100010000第33页/共144页几种74LS系列器件 型号型号 器件名称器件名称74LS00 两输入端四与非门74LS01 两输入端四与非门(OC)74LS02 两输入端四或非门74LS04 六非门74LS10 三输入端三与非门74LS32 三输入端三与非门(OC)74LS86 两输入端四异或门 在电路设计时,实际使用的都是集成电路芯片,每种芯片通常封装固定数目的逻辑门,每个门输入

21、端的数目是固定的,在设计时还需考虑这些限制。第34页/共144页第35页/共144页例例: 设输入可提供原变量和反变量,试用设输入可提供原变量和反变量,试用74LS00实现下列函数。实现下列函数。2,13),9,10,11,1(2,3,6,7,8D)C,B,F(A,0001111000011110 1 1 1 1 1 CDAB 1 1 1 1 1解解: 逻辑函数填入卡诺图逻辑函数填入卡诺图 C ACABA CACABAFCABCACABCA CACABAF74LS00:两输入端四与非门第36页/共144页例例: 设输入可提供原变量和反变量,试用两块设输入可提供原变量和反变量,试用两块74LS1

22、0实现下列函数实现下列函数。,13,15)(5,6,7,8,9D)C,B,(A,F2)(6,7,8,9,1D)C,B,(A,F210001111000011110 1 1 1 1 1 CDAB0001111000011110 1 1 1 1 1 1 1 CDAB解解: 逻辑函数填入卡诺图逻辑函数填入卡诺图 F1F2D CAC BABCA D CAC BABCAF1BDC BABCA BDC BABCAF274LS10:三输入端三与非门第37页/共144页n与或非式与或非式 或非或非- -或非式或非式第38页/共144页解解: (1) 作出全减器的真值表作出全减器的真值表AiBiCi-1DiCi

23、00001111001100110101010101101001011100011111111111111111iiiiiiiiiiiiiiiiiiiBCCBA BCCBCBA ABCBCACBACBACCBA BACBAC ABB ACBABAC ABCC BACB ACBAD(2) 写函数式并变换写函数式并变换例例 设输入不提供反变量,试用一片设输入不提供反变量,试用一片74LS00和一片和一片74LS386实现实现 全减器。全减器。 74LS00:二输入端四与非门74LS386:二输入端四异或门第39页/共144页例例 设输入不提供反变量,试用一片设输入不提供反变量,试用一片74LS00

24、和一片和一片74LS386实现实现 全减器。全减器。 (3) 画出逻辑图画出逻辑图iii全减器的逻辑图第40页/共144页 1 0 1 0 被加数被加数A 0 0 1 1 加加 数数B 1 1 0 1 和和 S 数字电路包括数字电路包括逻辑运算电路逻辑运算电路和和算术运算电路算术运算电路,加法器加法器是实现多是实现多位二进制数加法运算的逻辑电路,加法器由全加器和半加器构成。位二进制数加法运算的逻辑电路,加法器由全加器和半加器构成。n串行进位加法器串行进位加法器n并行进位加法器并行进位加法器第41页/共144页(1)半加器真值表)半加器真值表 输入输入 输出输出被加数被加数A 加数加数B 和和S

25、 进位进位C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1(2)输出函数)输出函数ABCBABABAS(4 4)逻辑符号)逻辑符号(3)逻辑图)逻辑图第42页/共144页现在,将现在,将异或门异或门实现的半加器改为用实现的半加器改为用与非门与非门实现。实现。函数表达式的变换:函数表达式的变换:ABCABB ABAABBABASABCBABABASBA10101 1S第43页/共144页(1)全加器真值表)全加器真值表 输入输入 输出输出 Ai Bi Ci-1 Si Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0

26、 1 0 1 1 1 0 0 1 1 1 1 1 1(2)输出函数)输出函数Si = Ai Bi Ci-1Ci = (Ai Bi) Ci-1AiBi(3)逻辑图)逻辑图(4 4)逻辑符号)逻辑符号第44页/共144页第45页/共144页第46页/共144页12341234BB B BB AAAAA设 加数A和被加数B均为四位二进制数,表示如下:)B(ACBAC CBAS)B(ACBAC CBAS)B(ACBAC CBAS)B(ACBAC CBAS4433343444332333233322122212221101110111设 进位生成项为 , 进位传递项为 ,则: iiiBAG iiiBAP

27、01234123423434434440123123233233301212212220111CPPPPGPPPGPPGPGCPGCCPPPGPPGPGCPGCCPPGPGCPGCCPGC则:第47页/共144页例例: 试用全加器及与非门设计一个一位试用全加器及与非门设计一个一位8421BCD码加法器。码加法器。8421BCD码的加法码的加法 对于对于8421BCD码来说,若相加后的和出现伪码,应在伪码码来说,若相加后的和出现伪码,应在伪码上加校正数上加校正数(6)10 = 0110,得到两个代码组。,得到两个代码组。 1001 8421 + 0011 8421 = ?第48页/共144页解解

28、: (1) 作真值表作真值表第49页/共144页解解: (2) 写出输出函数的表达式写出输出函数的表达式CCb S8S4 S8S2 第50页/共144页解解: (3) 画出电路图画出电路图一位8421BCD码逻辑图1100第51页/共144页n3-7 (4) (6) 3-10 (2) n3-14 3-18第52页/共144页辑门辑门: 响应时响应时间间n多 个 信 号 变多 个 信 号 变化化: 有先有后有先有后例如例如: 假设输入信号变化前后假设输入信号变化前后稳态时稳态时,函数值为函数值为1,变化时瞬间出现变化时瞬间出现0,则电路产生则电路产生冒险冒险n组合电路中的冒险组合电路中的冒险逻辑

29、冒险逻辑冒险功能冒险功能冒险CBABF第53页/共144页下面的电路存在冒险:下面的电路存在冒险:产生冒险的电路电路中存在冒险竞争:竞争:冒险:冒险:在组合电路中,信号经由不同的途径达到某一会合点的时间在组合电路中,信号经由不同的途径达到某一会合点的时间有先有后有先有后.由于竞争而引起电路输出发生瞬间错误现象。表现为输出由于竞争而引起电路输出发生瞬间错误现象。表现为输出端出现了原设计中没有的窄脉冲,常称其为毛刺。端出现了原设计中没有的窄脉冲,常称其为毛刺。第54页/共144页下面的电路存在冒险:下面的电路存在冒险:CBACF具有冒险的与或电路1111101001第55页/共144页n静态功能冒

30、险静态功能冒险 多个输入变量同多个输入变量同时发生变化时发生变化, 变化前后函数值变化前后函数值相同相同, 变化时出现一次变化时出现一次瞬时输出错误瞬时输出错误.0001111000011110 0 0 0 0 0 0 11 1 0 1 000 0CDAB 00001111000011110 0 0 0 0 0 0 1 1 1 0 1 000 0CDAB 0偏偏1型冒险型冒险: 稳态为稳态为1, 输入变化时瞬时输出输入变化时瞬时输出0。偏偏0型冒险型冒险: 稳态为稳态为0, 输入变化时瞬时输出输入变化时瞬时输出1。第56页/共144页BBFBBFBCBAFBCBAFC)BB)(A(FCAB01

31、00011110 0 0 1 0 0 1 1 1CAB0100011110 0 0 1 0 0 1 1 1C)BB)(A(F第57页/共144页产生逻辑冒险的原因产生逻辑冒险的原因对于与或式:对于与或式:CBABF若若 ABC 从从 111101对于或与式:对于或与式:C)BB)(A(F若若 ABC 从从 100110两级与或式电路两级与或式电路: 只存在偏只存在偏1型逻辑冒险型逻辑冒险.两级或与式电路两级或与式电路: 只存在偏只存在偏0型逻辑冒险型逻辑冒险.CAB0100011110 0 00 11 0 1 1FCAB01000111101 0 0 01 1 0 1F器件的固有时延。器件的固

32、有时延。第58页/共144页ACBCBA BCBAFBCBCBAFCAB0100011110 0 0 1 0 0 1 1 1CAB0100011110 0 0 1 0 0 1 1 1C)C)(ABB)(A(Fn卡诺图卡诺图: : 加入冗余圈加入冗余圈第59页/共144页例例 将下面函数设计为无逻辑冒险的组合电路。将下面函数设计为无逻辑冒险的组合电路。DCADB ACAF解:解:DCADB ACAFCB ADC B第60页/共144页 输出加滤波电容输出加滤波电容0001111000011110 0 1 1 1 CDAB 第61页/共144页例例: 在下图所示的逻辑函数中,当输入变量在下图所示的

33、逻辑函数中,当输入变量ABCD从从01101100, 11111010, 00110100, 10001101变化时变化时, 是否存在功能冒险是否存在功能冒险?0001111000011110 0 1 1 1 1 1 0 1 0 0 0 01 0 1CDABF 1解:解:01101100111110100011010010001101第62页/共144页 功能冒险是函数的逻辑功能决定的,因此不能在设计中消除,功能冒险是函数的逻辑功能决定的,因此不能在设计中消除,需外加选通脉冲或滤波电容。需外加选通脉冲或滤波电容。第63页/共144页ABCF选通脉冲CPF=F.CP 由于冒险仅发生在由于冒险仅发

34、生在输入信号变化的瞬间,输入信号变化的瞬间,只要使选通脉冲出现的只要使选通脉冲出现的时间与输入信号变化的时间与输入信号变化的时间错开,即可消除任时间错开,即可消除任何形式的冒险,此时输何形式的冒险,此时输出不再是电位信号,而出不再是电位信号,而是脉冲信号是脉冲信号。第64页/共144页“或或”门及门及“或非或非”门门加负取样脉冲加负取样脉冲“与与”门及门及“与非与非”门加门加正取样脉冲正取样脉冲第65页/共144页利用冗余项:利用冗余项:只能消除逻辑冒险,而不能消除功能冒险;适用范围有限只能消除逻辑冒险,而不能消除功能冒险;适用范围有限取样法:取样法:加取样脉冲对逻辑冒险及功能冒险都有效。目前

35、大多数加取样脉冲对逻辑冒险及功能冒险都有效。目前大多数中规模集成模块都设有使能端,可以将取样信号作用于该端,待中规模集成模块都设有使能端,可以将取样信号作用于该端,待电路稳定后才使输出有效。电路稳定后才使输出有效。冒险现象仅发生在输入信号变化的瞬间冒险现象仅发生在输入信号变化的瞬间选通脉冲出现时间和信号变化时间错开选通脉冲出现时间和信号变化时间错开加选通脉冲后加选通脉冲后, 输出变为脉冲信号输出变为脉冲信号选通脉冲加入的位置和极性选通脉冲加入的位置和极性吸收法:吸收法:加滤波电容使输出信号变坏,引起波形的上升、下降时间变长加滤波电容使输出信号变坏,引起波形的上升、下降时间变长,不宜在中间级使用

36、。实验调试阶段采用的应急措施;,不宜在中间级使用。实验调试阶段采用的应急措施;第66页/共144页第67页/共144页第68页/共144页n根据功能表和逻辑符号,根据功能表和逻辑符号,熟悉熟悉器件的功能,器件的功能,能正确使用器件能正确使用器件.n会灵活使用,例如会灵活使用,例如器件逻辑功器件逻辑功能的扩展能的扩展.集成电路的发展:集成电路的发展: SSI MSI LSI VLSI集成度不断提高:集成度不断提高: 逻辑门逻辑门 逻辑部件逻辑部件 子系统子系统 系统系统第69页/共144页内部结构内部结构n器件的应用器件的应用n基本逻辑功能基本逻辑功能n逻辑功能的扩展逻辑功能的扩展 对两组同样位

37、数的二进制数进行数值比较且判断其大小的逻辑对两组同样位数的二进制数进行数值比较且判断其大小的逻辑电路称为电路称为数码比较器数码比较器。 (b)aaaabbbbPQ0303PQCOMPAB32301210bbbaaa=第70页/共144页数据输入端:数据输入端:A,B 输入四位二进制数输入四位二进制数 ,3为最高位为最高位级联输入端:级联输入端:ab 用于器件功能的扩展用于器件功能的扩展数据输出端:数据输出端:AB 输出比较结果输出比较结果(b)aaaabbbbPQ0303PQCOMPAB32301210bbbaaa=3300第71页/共144页(b)aaaabbbbPQ0303PQCOMPAB

38、32301210bbbaaa=第72页/共144页器呢?器呢?如果需要构成如果需要构成24位比较位比较器呢?器呢?0123401234bbbbbB aaaaaA(b)aaaabbbbPQ0303PQCOMPAB32301210bbbaaa=第73页/共144页串行级联构成的串行级联构成的八位比较器八位比较器 a3aaa210b3bbb2101PQP=QP=QP=QP=BA=BAbaQPbaQPbaQPbaQPBAB”端作端作为判别输出端为判别输出端F. .a0a1a2a3b1b2b0b3abaQP=QPQA0A1A2A38421BCD码输入判别输出F11四舍五入电路四舍五入电路 第76页/共1

39、44页 将所要处理的信息或数据赋予二进制代码的过程称为将所要处理的信息或数据赋予二进制代码的过程称为编码编码,实现编码功能的电路称为,实现编码功能的电路称为编码器编码器 。 译译 码码译码器译码器n位二进制代码位二进制代码m种信息种信息编编 码码编码器编码器m2nm-n编码器mn.第77页/共144页m2nM2n第78页/共144页11111111111111111DCBA1 2 345 678 9&普通8421BCD码编码器D=8+9C=4+5+6+7 B=2+3+6+7 A=1+3+5+7+9 1. 普通二普通二十进制编码器十进制编码器C304第79页/共144页2. 优先编码器优先编码器

40、74LS148 优先编码器允许几个输入端优先编码器允许几个输入端同时同时加上信号,电路只对其中加上信号,电路只对其中优先级别最高的信号进行编码优先级别最高的信号进行编码。.1111111111111111&YEXY0Y1Y2STI7I6I5I4I3I2I1YsI00/Z101/Z112/Z123/Z134/Z145/Z156/Z167/Z17V18EN1241181014131211151617HPRI/BINI0I1I2I3I4I5I6I7STYSYEXY0Y1Y2使能输入使能输出扩展输出编码输出第80页/共144页2. 优先编码器优先编码器74LS148优先编码器优先编码器优先编码器优先编

41、码器74148功能表功能表扩展输出端使能输出端使能输入第81页/共144页例:例:用用8-38-3线优先编码器线优先编码器74LS14874LS148扩展成扩展成1616线线-4-4线优先编码器。线优先编码器。(3 3)第)第 I I 片工作时片工作时, ,编码器输出:编码器输出:0000-01110000-0111 第第II II 片工作时片工作时, ,编码器输出:编码器输出:1000-11111000-1111解:(解:(1 1)编码器输入)编码器输入1616线,用两片线,用两片8-38-3线编码器;线编码器;(2 2)实现优先编码:高位选通输出与低位控制端连接;)实现优先编码:高位选通输

42、出与低位控制端连接;有误第82页/共144页例:例:用一片用一片74LS14874LS148和外加门构成和外加门构成8421BCD8421BCD码编码器。码编码器。 74148构成构成BCD码编码器码编码器 第83页/共144页 译码是编码的逆操作,是将每个代码所代表的信息翻译过来,译码是编码的逆操作,是将每个代码所代表的信息翻译过来,还原成相应的输出信息。实现译码功能的逻辑电路称作还原成相应的输出信息。实现译码功能的逻辑电路称作译码器译码器。 n-m译码器mn.m2n bdcbagfeagcfed.PDPD(a)(b)共阴极共阳极第84页/共144页高电平译码 二进制译码器满足关系式:二进制

43、译码器满足关系式:m=2n,即,即完全译码完全译码,输出是输入变,输出是输入变量的各种组合,量的各种组合,一个输出对应一个最小项一个输出对应一个最小项,又称为,又称为最小项译码器最小项译码器。 若输出是若输出是1有效,称作高电平译码,一个输出就是一个最小项有效,称作高电平译码,一个输出就是一个最小项;若输出;若输出0有效,称作低电平译码,一个输出对应一个最小项的非有效,称作低电平译码,一个输出对应一个最小项的非。 下下图是一个二线至四线的译码器电路。图是一个二线至四线的译码器电路。 1 11 1BAF1F2F3F0&_ _0123,FB A FB A FB A FBA一个输出就是一个最小项一个

44、输出就是一个最小项第85页/共144页YYYYYYYY06543217AAA&SSSABC012ENBIN/OCT(b)译码器的输入:译码器的输入: 地址信号:地址信号:A2 A A0 0使能控制端使能控制端EN:译码器的输出译码器的输出: 选通信号:选通信号:7Y0Y 八个输出端,低电平有效。八个输出端,低电平有效。CBASSS74LS13874LS138的逻辑符号的逻辑符号 第86页/共144页三线三线八线译码器功能表八线译码器功能表 第87页/共144页DCBADCBA:译码输入:译码输入E:译码控制:译码控制E=0,允许译码,允许译码E=1,禁止译码,禁止译码DCBADCBA:0 00

45、00-000-0 0111111第一片工作第一片工作DCBADCBA:1 1000-000-1 1111111第二片工作第二片工作例:用例:用38译码器构成译码器构成416译码器译码器Y Y Y Y Y Y Y YA A A S S S02134576210CBAY Y Y Y Y Y Y Y54321076Y Y Y Y Y Y Y YA A A S S S02134576210CBAY Y Y Y Y Y Y Y13121110981514A B C 1 D使能端E高位低位地址高位地址低位第88页/共144页解:解:(1)五线至三十二线译码器有五个地址输入端:五线至三十二线译码器有五个地址

46、输入端:A4A3 A2A1A0, 而而74LS138有三个地址输入端有三个地址输入端A2A1A0, 三个片选控制端三个片选控制端. 令地址最高位令地址最高位A4A3取取00, 01, 10, 11时分别选中时分别选中1#, 2#, 3# , 4#芯片即可。芯片即可。(2)即地址最高位即地址最高位A4A3分别接分别接 1#, 2#, 3# 芯片的芯片的BAABCBSS ,SS ,SS34AAAS(3)对于对于4#芯片芯片, 应使应使A4A3为为11时工作,因此可以令时工作,因此可以令(4)各芯片剩余的控制端接相应高低电平使之有效各芯片剩余的控制端接相应高低电平使之有效, 或组合成新的或组合成新的

47、片选片选(使能使能)端端. (5)1# 芯片的地址范围芯片的地址范围: 00H 07H,2# 芯片的地址范围芯片的地址范围: 08H 0FH, 3# 芯片的地址范围芯片的地址范围: 10H 17H ,4# 芯片的地址范围芯片的地址范围: 18H 1FH 第89页/共144页解:由输出线数可知,至少需要解:由输出线数可知,至少需要8片三线至八线译码器,此时使能片三线至八线译码器,此时使能端不能完成高位控制,常采用树型结构扩展,再加端不能完成高位控制,常采用树型结构扩展,再加1片译码器对高片译码器对高三位译码,其三位译码,其8个输出分别控制其余个输出分别控制其余8片的使能端,选择其中一个工片的使能

48、端,选择其中一个工作作。 A0A1A2SASBSCY0Y791A3A4A5A0A1A2SASBSCY0Y711A0A1A2SASBSCY0Y781A0A1A2Y0Y7Y56Y63 地址高位地址低位第90页/共144页译码器用作数据分配器第91页/共144页A0A1A2SAAB_BS_CSC1&F. ._0Y_3Y_4Y_7Y 本例是用译码器作函数发生器。因为译码器的输出分别对应一本例是用译码器作函数发生器。因为译码器的输出分别对应一个最小项(高电平译码)或一个最小项的非(低电平译码),所以个最小项(高电平译码)或一个最小项的非(低电平译码),所以附加适当门,可实现任意函数。附加适当门,可实现任

49、意函数。 特点:方法简单,无须简化,工作可靠。特点:方法简单,无须简化,工作可靠。)7 , 4 , 3 , 0( YYYY YYYYF743074307430mmmm第92页/共144页解解: :全加器的最小项表达式应为全加器的最小项表达式应为742174217421iY Y Y YmmmmmmmmS7653765376531iY Y Y YmmmmmmmmCSi =7)4 2 (1 m 、Ci+1 = )7 6 5 3( m、 输入输入 输出输出 Ai Bi Ci-1 Si Ci+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0

50、1 0 1 1 1 0 0 1 1 1 1 1 1例:试用例:试用 74LS138和与非门构成一位全加器和与非门构成一位全加器第93页/共144页注意地址端的高低位第94页/共144页 二十进制译码器可由二十进制译码器可由416线译码器构成,也有专用的线译码器构成,也有专用的410线译码器。线译码器。CMOS型译码器型译码器C301的输入为的输入为BCD码,无使能端,输出码,无使能端,输出为高电平有效为高电平有效 。 &1111&11111111111111111111.1111.ffffffffff0432176589ADCB第95页/共144页 二十进制译码器的功能表如下二十进制译码器的功

51、能表如下 。 第96页/共144页二十进制译码器的功能扩展二十进制译码器的功能扩展 二十进制译码器二十进制译码器可以构成带有使能端的可以构成带有使能端的38线译码器,只需线译码器,只需将最高位输入端将最高位输入端D当作使能端,输出端当作使能端,输出端f8、f9不用即可。不用即可。 二十进制译码器用作38线译码器 高位地址输出高电平有效第97页/共144页 在数字系统中,常需结果用十进制数码显示出来,数字显示电在数字系统中,常需结果用十进制数码显示出来,数字显示电路包括路包括译码驱动电路译码驱动电路和和数码显示器数码显示器。 数字显示器有许多种不同类型的产品,如发光二极管、荧光数数字显示器有许多

52、种不同类型的产品,如发光二极管、荧光数码管、液晶数字显示器等码管、液晶数字显示器等。 8421BCD码译码器数码显示器8421BCD显示译码电路框图显示译码电路框图 第98页/共144页(1)七段数码管)七段数码管(2)七段显示译码器)七段显示译码器共阴极共阴极共阳极共阳极:高电平亮:高电平亮:低电平亮:低电平亮每一段由一个发光二极管组成每一段由一个发光二极管组成输入:二输入:二十进制代码十进制代码输出:译码结果,可驱动相输出:译码结果,可驱动相 应的七段数码管显示应的七段数码管显示 正确的数字正确的数字第99页/共144页 数据选择器数据选择器又称为多路选择器,简称又称为多路选择器,简称MU

53、X,它能够从多路输入,它能够从多路输入数据中选择一路输出,选择哪一路由当时的控制信号决定,其功能数据中选择一路输出,选择哪一路由当时的控制信号决定,其功能类似于单刀多掷开关类似于单刀多掷开关 。 D0D1D2n-1数据输出n位地址控制Y数据选择器示意图数据选择器示意图 将并行数据将并行数据转换成串行数转换成串行数据据n实现逻辑函数实现逻辑函数第100页/共144页 74LS151是一种是一种TTL型八选型八选一数据选择器,其中一数据选择器,其中D7 D0为为数据输入端,数据输入端,A2 A0为地址端为地址端,有两个互补输出端。,有两个互补输出端。 使能时使能时,输出,输出Y和输入的逻辑关系:和

54、输入的逻辑关系:iiDm DAAADAAA DAAADAAA DAAADAAA DAAADAAAY70126012501240123012201210120012数据输入地址控制地址高位地址变量构成的最小项第101页/共144页74LS151的功能表的功能表 如果:D0=0,D1=0,D2=0,D4=0,D3=1,D5=1,D6=1,D7=1那么,Y输出实现什么功能?第102页/共144页公共的地址输入端Y=miDiY=miDi第103页/共144页例:试用最少数量的四选一选择器扩展成八选一选择器。例:试用最少数量的四选一选择器扩展成八选一选择器。解解: (1) : (1) 用一片双四选一数据

55、选择器,实现八个数据输入用一片双四选一数据选择器,实现八个数据输入 (2) (2) 高位地址接使能端,实现三位地址,控制八个输入高位地址接使能端,实现三位地址,控制八个输入第104页/共144页0A1A2ST11D01D22D21D02D12D32D31D1Y2Y1ST0D1D2D3D4D5D6D7D0A1A2ST11D01D22D21D02D12D32D31D1Y2Y1ST0A1AYST0D1D2D3D0A1A2A3AF8D10D9D11D12D13D14D15D第 级 第 级高位地址低位地址1.低位地址从16路数据中选出4路2.高位地址从四路中选出一路最终输出第105页/共144页例例:

56、已知逻辑函数已知逻辑函数F(A,B,C,D) (1,2,4,9,10,11,12,14,15), 设输入既提供设输入既提供 原变量原变量, 又提供反变量又提供反变量, 试根据要求实现该函数试根据要求实现该函数, 并画出逻辑电路图并画出逻辑电路图。(1) 用两级与非门实现函数用两级与非门实现函数.(2) 中规模四选一数据选择器实现函数中规模四选一数据选择器实现函数, 要求电路形式最简要求电路形式最简. (3) 用一片四到十六线译码器实现函数,假设译码器的输出为高电用一片四到十六线译码器实现函数,假设译码器的输出为高电 平有效。平有效。0001111000011110 1 1 1 1 1 1 1

57、1CDABF 1第106页/共144页例例: 已知逻辑函数已知逻辑函数F(A,B,C,D) (1,2,4,9,10,11,12,14,15), 设输入既提供设输入既提供 原变量原变量, 又提供反变量又提供反变量, 试根据要求实现该函数试根据要求实现该函数, 并画出逻辑电路图并画出逻辑电路图。(1) 用两级与非门实现函数用两级与非门实现函数.0001111000011110 1 1 1 1 1 1 1 1CDABF 1DCBDCBDCBAC DCBDCBDCBAC DCBDCBDCBACF第107页/共144页例例: 已知逻辑函数已知逻辑函数F(A,B,C,D) (1,2,4,9,10,11,1

58、2,14,15), 设输入既提供设输入既提供 原变量原变量, 又提供反变量又提供反变量, 试根据要求实现该函数试根据要求实现该函数, 并画出逻辑电路图并画出逻辑电路图。(2) 中规模四选一数据选择器实现函数中规模四选一数据选择器实现函数, 要求电路形式最简要求电路形式最简. 0001111000011110 1 1 1 1 1 1 1 1CDABF 1选选AB为地址变量:为地址变量: 即即 A1A0ABD CDCDD CDCDD CDDCDCD3210在子卡诺图中化简D0D1D3D2Y=miDi第108页/共144页例例: 已知逻辑函数已知逻辑函数F(A,B,C,D) (1,2,4,9,10,

59、11,12,14,15), 设输入既提供设输入既提供 原变量原变量, 又提供反变量又提供反变量, 试根据要求实现该函数试根据要求实现该函数, 并画出逻辑电路图并画出逻辑电路图。(2) 中规模四选一数据选择器实现函数中规模四选一数据选择器实现函数, 要求电路形式最简要求电路形式最简. 0001111000011110 1 1 1 1 1 1 1 1CDABF 1选选BC为地址变量:为地址变量: 即即 A1A0BCADDDDADADDD3210Y=miDi在子卡诺图中化简第109页/共144页例例: 已知逻辑函数已知逻辑函数F(A,B,C,D) (1,2,4,9,10,11,12,14,15),

60、设输入既提供设输入既提供 原变量原变量, 又提供反变量又提供反变量, 试根据要求实现该函数试根据要求实现该函数, 并画出逻辑电路图并画出逻辑电路图。(3) 用一片四到十六线译码器实现函数,假设译码器的输出为高电用一片四到十六线译码器实现函数,假设译码器的输出为高电 平有效。平有效。令译码器的地址输入端:令译码器的地址输入端: A3A2 A1A0 ABCD则:则:0001111000011110 1 1 1 1 1 1 1 1CDABF 115141211109421YYYY YYYYYFYYYYYYYY06543217AAA&SSSABC012ENBIN/OCT(b)第110页/共144页n第

61、111页/共144页 数据分配器数据分配器的功能与数据选择器相反,根据地址变量将一个输的功能与数据选择器相反,根据地址变量将一个输入数据分配到多路输出中的某一路。数据分配器也等效为单刀多掷入数据分配到多路输出中的某一路。数据分配器也等效为单刀多掷开关,只是方向相反,故称开关,只是方向相反,故称DMUX。 数据分配器示意图数据分配器示意图 f0f1f2n-1数据输入n位地址控制D第112页/共144页&f1&f2&f3&f011&A1A0D1ST012301A0A1DMUX1D1ST2D2STG031f01f11f21f32f02f12f22f3数据分配器数据分配器74LS155的原理图与逻辑符

62、号的原理图与逻辑符号 fi=miDST=1:ST=0:fi=1第113页/共144页数据分配器数据分配器74LS155的逻辑符号与功能表的逻辑符号与功能表 第114页/共144页 将双一线至四线数据分配器的使能端将双一线至四线数据分配器的使能端1ST与与2ST并接作为高位地并接作为高位地址变量址变量A2输入端,两个数据输入端并接作为数据输入,则可扩展为输入端,两个数据输入端并接作为数据输入,则可扩展为一线至八线的分配器一线至八线的分配器。 第115页/共144页多路数据传输多路数据传输第116页/共144页由译码器连成的数据分配器由译码器连成的数据分配器0 0 00 0 00 01 11 10

63、 0译码译码禁止译码禁止译码0 01 1利用数据选择器和译码器实现多路信息传输。利用数据选择器和译码器实现多路信息传输。第117页/共144页n数据在传输过程中数据在传输过程中 110101 110101 传输无差错传输无差错 110101 100101 传输出现差错传输出现差错 二进制信息在传送过程中可能会发生错误,例如:二进制信息在传送过程中可能会发生错误,例如: 为了检测存在的错误,人们研制出特殊的可靠性编码。常用的有奇偶检验码、五中取二码、汉明码等。为了检测存在的错误,人们研制出特殊的可靠性编码。常用的有奇偶检验码、五中取二码、汉明码等。 第118页/共144页n奇偶校验码奇偶校验码n

64、特特 点点: 能够检测出能够检测出1位错误,但不能纠正错误位错误,但不能纠正错误. n奇校验奇校验: 信息码中信息码中1的个数为奇数的个数为奇数;n偶校验偶校验: 信息码中信息码中1的个数为偶数的个数为偶数; 信信 息息 位位 校验位校验位原原 信信 息息 码码 监督码元监督码元 奇偶检验码奇偶检验码是由信息码加一位校验位组成。其编码方式有两种是由信息码加一位校验位组成。其编码方式有两种:使代码组中信息码和校验位中:使代码组中信息码和校验位中“1”的个数的总和为奇数的,称为的个数的总和为奇数的,称为奇校验奇校验; 使使“1”的个数的总和为偶数的,称为的个数的总和为偶数的,称为偶校验偶校验。 第

65、119页/共144页异或运算:异或运算:奇数个奇数个1的异或运算结果为的异或运算结果为1; 偶数个偶数个1的异或运算结果为的异或运算结果为0。S = 0,传输无误;,传输无误;S = 1传输有误传输有误F FE E=B=B3 3B B2 2B B1 1B B0 0S=BS=B3 3B B2 2B B1 1B B0 0F FE E发送端发送端偶检验位偶检验位表达式:表达式:接收端偶检验位表达式:接收端偶检验位表达式:第120页/共144页第121页/共144页74LS280的逻辑符号的逻辑符号 输入AI中1的个数QO QE偶 数奇 数0 11 0奇偶校验器的功能表 74LS280既适用于奇校验,

66、也适用于偶校验;既可用于校验位既适用于奇校验,也适用于偶校验;既可用于校验位的产生,也可用于奇偶性的校验。的产生,也可用于奇偶性的校验。 第122页/共144页第123页/共144页1输出1有错误发送端接收端接收器奇校验位8位信息码QOQE2k2kABCDEFGHIABCDEFGHII端为什么要接1?第124页/共144页十进制数十进制数8421BCD码码8421汉明码汉明码0123456789000000010010001101000101011001111000100100000000000111001100100111100101010010110101100110110100100101110011004位位8421码码3位汉明校验位位汉明校验位第125页/共144页十进制数十进制数8421BCD码码8421汉明码汉明码012345678900000001001000110100010101100111100010010000000000011100110010011110010101001011010110011011010010010111001100第126页/共144页

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!