电子科技大学21秋“电子信息工程”《EDA技术》期末考核试题库带答案参考4

上传人:住在****她 文档编号:105250775 上传时间:2022-06-11 格式:DOCX 页数:13 大小:17.88KB
收藏 版权申诉 举报 下载
电子科技大学21秋“电子信息工程”《EDA技术》期末考核试题库带答案参考4_第1页
第1页 / 共13页
电子科技大学21秋“电子信息工程”《EDA技术》期末考核试题库带答案参考4_第2页
第2页 / 共13页
电子科技大学21秋“电子信息工程”《EDA技术》期末考核试题库带答案参考4_第3页
第3页 / 共13页
资源描述:

《电子科技大学21秋“电子信息工程”《EDA技术》期末考核试题库带答案参考4》由会员分享,可在线阅读,更多相关《电子科技大学21秋“电子信息工程”《EDA技术》期末考核试题库带答案参考4(13页珍藏版)》请在装配图网上搜索。

1、书山有路勤为径,学海无涯苦作舟! 住在富人区的她电子科技大学21秋“电子信息工程”EDA技术期末考核试题库带答案参考一.综合考核(共50题)1.EDA工具不包括()等模块。A.HDL综合器B.控制器C.适配器D.下载器参考答案:B2.基于下面技术的PLD器件中允许编程次数最多的是()。A.FLASHB.EEPROMC.PROMD.SRAM参考答案:D3.下列关于元件例化语句的说法正确的是()。A.位置关联方式与顺序有关,名称关联方式与顺序有关B.位置关联方式与顺序有关,名称关联方式与顺序无关C.位置关联方式与顺序无关,名称关联方式与顺序有关D.位置关联方式与顺序无关,名称关联方式与顺序无关参考

2、答案:B4.变量是局部量,可以写在()。A.实体中B.进程中C.线粒体D.种子体中参考答案:B5.使用Quartus II工具软件修改设计元件符号,应采用()方式。A.图形编辑B.文本编辑C.符号编辑D.波形编辑参考答案:C6.VHDL文本编辑中编译时出现如下的报错信息:Error:Line1,File e:muxfilemux21.tdf:TDF syntax error 其错误原因是()。A.错将设计文件的后缀写成.tdf而非.vhdB.错将设计文件存入了根目录,并将其设定成工程C.设计文件的文件名与实体名不一致D.程序中缺少关键词参考答案:A7.MAX+PLUS II的设计文件不能直接保

3、存在()。A.工程目录B.文件夹C.根目录D.U盘参考答案:C8.时间尺度定义为timescale 10ns/100ps,选择正确答案()。A.时间精度10nsB.时间单位100psC.时间精度100psD.时间精度不确定参考答案:C9.VHDL程序基本结构包括()。A.实体、子程序、配置B.实体、结构体、配置、函数C.结构体、状态机、程序包和库D.实体、结构体、程序包和库参考答案:D10.在VHDL中,结构体内部是由()语句组成的。A.顺序B.并行C.顺序和并行D.任何参考答案:A11.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为()。A.软核B.硬核C

4、.固核D.都不是参考答案:A12.下例程序执行后,X和Y的值分别为()。Process(A,B,C) variable D:std_logic; begin D:=A; XA.B+C和B+AB.B+A和B+CC.B+C和B+CD.B+A和B+A参考答案:B13.现场可编程门阵列的英文简称是()。A.FPGAB.PLAC.PALD.PLD参考答案:A14.Xilinx公司开发的开发软件为()。A.ISEB.ispDesignEXPERT系列C.QuartusD.Maxplus参考答案:A15.在VHDL中,条件信号赋值语句WHEN_ELSE属于()语句。A.并行和顺序B.顺序C.并行D.任意参考

5、答案:A16.以下不属于EDA技术的特点是()。A.用软件方式设计硬件B.用硬件方式设计软件C.设计过程中可仿真D.系统可现场编程参考答案:D17.在VHDL中,45_234_287属于()文字。A.整数B.以数制基数表示的C.实数D.物理量参考答案:A18.EDA的设计验证包括()、时序仿真和器件测试三个过程。A.形式仿真B.数值仿真C.功能仿真D.行为仿真参考答案:C19.1987标准的VHDL语言对大小写是()。A.敏感的B.只能用小写C.只能用大写D.不敏感参考答案:D20.VHDL常用的库是()标准库。A.IEEEB.STDC.WORKD.PACKAGE参考答案:A21.VHDL的语

6、言要素包括以下几类()。A.数据对象、数据类型、操作数、操作符B.数据对象、结构体、操作数、操作符C.实体、数据类型、操作数、操作符D.数据对象、配置、操作数、重载操作符参考答案:A22.VHDL的字符是以()括起来的数字、字母和符号。A.单引号B.双引号C.括号D.方括号参考答案:A23.使用Quartus的图形编辑方式输入的电路原理图文件必须通过()才能进行仿真验证。A.编辑B.编译C.综合D.编程参考答案:B24.VHDL数据对象有()。A.常量、变量B.变量、信号C.常量、变量、信号D.信号参考答案:C25.已知“a=1b1;b=3b001;”那么a,b=()。A.4b0011B.3b

7、001C.4b1001D.3b101参考答案:C26.进程语句的启动条件是()。A.wait语句或敏感信号量B.wait语句C.敏感信号量D.wait语句或且敏感信号量参考答案:A27.EDA设计流程包括()、设计输入、设计处理和器件编程四个步骤。A.设计准备B.总体设计C.详细设计D.设计数据参考答案:A28.在以下四种语言中属于硬件描述语言的是()。A.DelphiB.VBC.VHDLD.VC参考答案:C29.VHDL语言中变量定义的位置是()。A.实体中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置参考答案:D30.在VHDL中,用语句()表示检测clock的下降沿。

8、A.clockEVENTB.clockEVENT AND clock=2C.clok=1D.clockEVENT AND clock=1参考答案:D31.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:()。A.IEEE库B.VITAL库C.STD库D.WORK工作库参考答案:D32.如果A、B均为为std_logic_vector的数据类型,A的值为“100”,B的值为“011”,则BA的值为()。A.100011B.011100C.110011D.010011参考答案:B33.下面对利用原理图输入设计方法进行数字电路系统设计的描述中,哪一种说法是不正确的()。A.原

9、理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计B.原理图输入设计方法一般是一种自底向上的设计方法C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法也可进行层次化设计参考答案:C34.在VHDL中,可以用()表示数据或地址总线的名称。A.下标名B.段名C.总线名D.字符串参考答案:B35.执行MAX+PLUSII的()命令,可以为设计电路建立一个元件符号。A.create default symbolB.simulatorC.compilerD.timing analyzer参考答案:A36.2006年推出的Core2微处理器芯片的集成度达()万只晶体管。A.2亿3

10、千B.2亿C.1亿D.5亿参考答案:A37.在VHDL的IEEE标准库中,预定义的标准逻辑位数据STD_LOGIC有()种逻辑值。A.2B.3C.8D.9参考答案:D38.传统电路设计思想是()。A.自下而上B.自外而里C.自上而下D.自里而外参考答案:A39.执行MAX+PLUS II的()命令,可以为设计电路建立一个元件符号。A.create default symbolB.simulatorC.compilerD.timing analyzer参考答案:A40.FPGA的配置是指()。A.设备外部环境B.设置工作方法C.逻辑配置及外部连接D.逻辑配置参考答案:C41.不属于顺序语句的是(

11、)。A.IF语句B.LOOP语句C.PROCESS语句D.CASE语句参考答案:C42.“a=4b1100,b=4bx110”选出正确的运算结果()。A.a&b=0B.a&b=1C.b&a=xD.b&a=x参考答案:B43.执行MAX+PLUSII的()命令,可以对设计的电路进行仿真。A.create default symbolB.compilerC.simulatorD.programmer参考答案:C44.国际上生产FPGA/CPLD的三家主流公司为()。A.Altera、Xilinx、Lattice公司B.Altera、Marax、Lattice公司C.IBM、Xilinx、Latti

12、ce公司D.Altera、Xilinx、AD公司参考答案:A45.EDA设计流程包括设计准备、()、设计处理和器件编程四个步骤。A.总体设计B.设计输入C.详细设计D.设计数据参考答案:B46.在verilog语言中,a=4b1011,那么a=()。A.4b1011B.4b1111C.1b1D.1b0参考答案:C47.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为()。A.核B.核C.核D.不是参考答案:A48.顺序语句中的转向控制语句包括()。A.if 语句、case 语句、return 语句、Exit 语句B.if 语句、case 语句、Loop 语句、Next 语句、Exit 语句C.if 语句、case 语句、Loop 语句、return 语句D.case 语句、Loop 语句、Next 语句、null 语句参考答案:B49.下列关于信号的说法不正确的是()。A.信号相当于器件内部的一个数据暂存节点。B.信号的端口模式不必定义,它的数据既可以流进,也可以流出。C.在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。D.信号在整个结构体内的任何地方都能适用。参考答案:C50.不符合进程语句启动条件的是()。A.if语句B.wait语句C.敏感信号量D.wait语句或敏感信号量参考答案:A

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!