基于MSP430G2553单片机的循迹避撞小车循迹模块超声波模块

上传人:无*** 文档编号:104852345 上传时间:2022-06-11 格式:DOC 页数:54 大小:2.03MB
收藏 版权申诉 举报 下载
基于MSP430G2553单片机的循迹避撞小车循迹模块超声波模块_第1页
第1页 / 共54页
基于MSP430G2553单片机的循迹避撞小车循迹模块超声波模块_第2页
第2页 / 共54页
基于MSP430G2553单片机的循迹避撞小车循迹模块超声波模块_第3页
第3页 / 共54页
资源描述:

《基于MSP430G2553单片机的循迹避撞小车循迹模块超声波模块》由会员分享,可在线阅读,更多相关《基于MSP430G2553单片机的循迹避撞小车循迹模块超声波模块(54页珍藏版)》请在装配图网上搜索。

1、. .摘 要随着现代科技术的进步,公路交通呈现出行驶高速化、车流密集化的趋势。同时,随着汽车工业的飞速开展,汽车的产量和保有量都在急剧增加。但公路开展、交通管理相对落后,导致了交通事故与日俱增,城市交通拥堵成为城市管理的难题。 本设计在汽车前端放置超声波检测装置,通过对前方汽车车距的检测,送到中心控制器作出判断,进展车辆车速控制,从而到达车辆主动平安行驶,防止不必要的碰撞。设计利用智能小车来模拟真实车辆行驶环境,采用红外对管检测黑线实现循迹,超声波传感器测距实现避障,采用MSP430G2553作为主控制芯片,电动车电机驱动采用L298N芯片,根据内置的程序分别控制小车四个直流电机运转,实现小车

2、自动识别路线循迹行驶,能较有效的控制其在碰上障碍物时能及时停车。本设计构造简单,较容易实现,具有一定的智能性。这个系统安装在汽车上,能探测车辆前方的行人、车辆或周围障碍物,能向司机提前发出即将发生撞车危险的信号,促使司机采取应急措施来应付特殊险情,防止损失,在日常交通环境中提高了车辆行驶平安性,具有一定的市场推广价值。关键词:智能小车;msp430单片机;L298N;超声波传感器;红外传感器AbstractAlong with the progress of modern science and technology, the trend of highway transportation i

3、s the trend of high speed and traffic flow. At the same time, with the rapid development of the automobile industry, the output and the quantity of the automobile are increasing sharply. However, the development of highway, traffic management is relatively backward, resulting in the traffic accident

4、 growing, urban traffic congestion bee the city management problems.The design at the front end of the car placed ultrasonic detection device, through the distance to the front vehicle detection, sent to the central controller to judge, vehicle speed control, so as to achieve vehicle active safety d

5、riving, avoid unnecessary collision. Design using the smart car to simulate the real vehicle driving environment, using infrared to detect black line pipe to achieve the tracking, ultrasonic ranging sensor to achieve obstacle avoidance and the msp430g2553 as the main control chip, motor drive using

6、L298N chip, built-in procedures according to respectively control car four DC motor running, achieve automatic car identification route tracking driving, can more effectively control the run into obstacles can stop in time. This design is simple and easy to achieve, with a certain intelligence.The s

7、ystem was installed in the car, can detect vehicles in front of the pedestrians, vehicles, or around obstacles., to the driver in advance from the impending collision danger signal, to urge the driver to take emergency measures to cope with the special danger, avoid losses, in daily traffic environm

8、ent improves the vehicle driving safety, has a certain market popularization value.Keywords: Intelligent car; MSP430 microcontroller; L298N; ultrasonic sensor; infrared tube目录1 绪论11.1 课题背景11.2 课题研究意义21.3 超声波技术31.3.1 超声波测距原理31.3.2 超声波传感器的分类41.3.3 超声波测距的特点42 元器件介绍52.1 红外传感器TCRT500052.2 比较器LM39362.3 LM

9、7805稳压芯片72.4 L298N电机驱动芯片83 硬件系统设计103.1 电源模块103.2 电机驱动模块113.3 循迹模块123.4 超声波模块133.4.1 超声波测距根本工作原理143.4.2 HC-SR04主要技术参数143.5 MSP430单片机最小系统153.5.1 电源电路153.5.2 晶振电路、复位电路及接口电路154 软件系统设计174.1 主程序174.2 电机转向控制程序184.3 红外循迹控制程序214.4 超声波测距程序225 常用软件介绍245.1 Proteus介绍245.1.1 电路图的绘制245.1.2 Proteus仿真建立工程的流程255.2 Co

10、de poser Studio(CCSv5.1)软件275.2.1 CCSv5.1软件建立工程的流程285.2.2 CCSv5.1编写程序及调试的流程30结论32致谢33参考文献34附录A 英文文献35附录B 汉语翻译38附录C 源程序41附录D 硬件原理图47附录E 实物图48. .word. .1 绪论1.1 课题背景随着汽车科学技术的进步,公路交通呈现出行驶高速化、车流密集化的趋势。同时,随着汽车工业的飞速开展,日常生活中,汽车的产量和保有量都在急剧增加。但公路开展、交通管理相对落后,导致了交通事故与日俱增,城市交通拥堵成为城市管理的难题。智能交通系统ITSIntelligent Tra

11、nsport System是目前世界上交通运输科学技术的高新技术,它在充分着发挥根底设施的潜力,提高运输效率,保障交通平安,缓解交通堵塞,改善城市环境等方面有着卓越的效能,已得到各国政府的普遍关注。中国政府也高度重视智能交通系统的研究开发与推广应用。汽车主动平安防撞系统作为ITS 开展的一个根底,它的成功与否对整个系统有着很大的作用。从传统上说,汽车的行驶平安可以分为两个主要研究方向:一是主动式平安技术,即防止事故的发生,该种方式是当前汽车平安研究领域的最终目的;二是被动式 平安技术,即事故发生后对乘员的保护。目前汽车平安领域被动平安的方向研究较多,主要从平安气囊、ABS(防抱死系统)和悬架等

12、方面着手,以保证驾乘人员的人身平安。从经济性和平安性两方面来说,这些被动平安措施是在事故发生时刻对车辆和人员进展的保护,有很大的局限性,因而车辆的主动平安性研究尤为重要,引出了本课题研究的基于单片机汽车主动平安防撞系统。这个系统是一种在事故发生前主动向司机发出预警信号,并同时主动降低汽车行驶速度的控制装置。它安装在汽车上,能探测车辆前方的行人、车辆或周围障碍物;能提前向司机发出即将发生撞车危险的信号,提醒司机采取应急措施来应付特殊险情,防止损失。 现今社会智能小车开展很快,从智能玩具到其它各行业都有实质成果。其根本可实现循迹、避障、检测贴片、寻光入库、避崖等根本功能,这几界的电子设计大赛智能小

13、车又在向声控系统开展。比较知名的飞思卡尔智能小车更是走在前列。我此次的设计主要实现循迹避障这两个功能。根据实际设计制作基于MSP430单片机智能小车的过程,在智能小车的自动循线、避障、检测、控制、显示等方面提出一些观点和见解。1.2 课题研究意义随着现代社会工业化的开展,汽车作为交通工具正为越来越多的人所用,但是随之而来的问题同时也显而易见。随着车辆的增多,交通事故的频繁发生,由此导致的人员伤亡和财产损失数目惊人。对于汽车交通事故的分析说明,70%以上的车祸是由于驾驶员反响不及时所引起的。超过60%的车辆相撞属于追尾相撞,其余那么属于侧面相撞。奔驰汽车公司对各种交通事故的研究说明:假设驾驶员能

14、够提前1s意识到有事故危险并采取相应的正确措施,如果现有汽车能安装主动平安避撞系统,那么绝大多数的交通事故都能够防止。因此,研究开发汽车防撞装置等主动式保护汽车辅助平安装置,减少驾驶员的负担和错误判断,对于提高交通行驶平安将起到重要的作用。可见,此类产品的研究开发具有极大的现实意义和广阔的市场前景。汽车主动防撞平安产品最早出现在1989年;奔驰于1997年开场对自身品牌进展防撞的配置, 随后通用、福特、OPEL、SAAB 以及VOLVO 跟进。目前在欧盟、美国和日本等地,汽车主动防撞平安产品已竞相采用。在中国,局部进口车中也已崭露头角,而汽车生产厂家目前都还没有推出此类产品。目前国内汽车主动防

15、碰撞技术方面的研究,主要在一些大型汽车企业和科学研究所开展,如:XX一汽群众、XX群众、东风汽车、交通部科学研究院、XX汽车研究院、清华大学汽车系、理工大学机械系等,但只是初步的探索性研究,还没有可以使用的产品,可以说汽车主动防撞智能平安系统在中国的市场才刚刚起步,这个市场具有巨大的开发潜力和升值空间。该设计的实际意义是通过构建智能小车系统,培养设计并实现自动控制系统的能力。在研究的过程中,熟悉以单片机为核心的控制芯片,设计小车的检测、驱动和显示等外围电路,采用算法实现小车的准确控制。灵活运用所学的相关的理论知识,结合实际电路设计的实现方法,到达理论和实际的统一。在此过程中,加深对理论知识的理

16、解和认识。且该设计具有实际意义,可以应用于考古、机器人、娱乐等许多方面。尤其是在玩具机器人研究方面具有很好的开展前景。所以本设计与实际生活相结合,现实意义很强。 在智能小车中,传感器起到举足轻重的作用。视觉、激光、红外、超声传感器等都在实际生活中得到了广泛的应用。其中,超声波传感器以其信息处理简单、速度快和价格低,被广泛地应用于智能小车测距的传感器,能实现避障、环境建模和导航等功能。考虑到实际情况,传感器检测局部考虑到小车一般不需要感知清晰的图像,只要求粗略感知即可,所以可以舍弃昂贵的CCD传感器而考虑使用价廉物美的红外反射式传感器和超声波传感器来替代。智能小车的执行局部,是用直流电机来充当的

17、,从而控制小车的行进方向和速度。单片机驱动直流电机一般有两种方案:第一,勿需占用单片机资源,直接选择有PWM功能的单片机,这样可以实现准确调速;第二,可以由软件模拟PWM输出调制,需要占用单片机资源,且难以准确调速,但单片机选择型号的余地较大。 TI公司的MSP430单片机广泛地应用于计算机外部设备、工业实时控制、仪器仪表、通讯设备、家用电器等各个领域。MSP430单片机可以说是单片机领域的主流产品,其应用如此广泛,所以有必要学习和应用该单片机,以满足产品开发的实际需求,同时是适应社会智能化、以MSP430为控制核心,采用超声波传感器来检测道路上的障碍,控制小车的自动避障,速度调节,以及自动停

18、车,并可以自动记录行车时间、里程和速度,自动寻迹等功能。CPU使用MSP430单片机,配合软件编程实现。MSP430是一款十六位单片机,它的易用性和多功能性受到了广阔使用者的好评。1.3 超声波技术1.3.1 超声波测距原理超声波是一种在弹性介质中可传播的机械振荡,其频率超过20KHz,分横向振荡和纵向振荡两种方式,超声波可以在气体、液体及固体中传播,而且其传播速度不同。它有折射和反射现象,并且在传播过程中有衰减。利用超声波的特性,可做成各种超声波传感器,结合不同的电路设计,可以制成超声波仪器及装置,在通讯、医疗及家电中得到广泛应用。作为超声波传感器的材料,主要为压电晶体。压电晶体组成的超声波

19、传感器是一种可逆传感器,它可以将电能转变成机械振荡而产生超声波,同时它接收到超声波时,也能转变成电能,故它分为发送器和接收器。通过超声波的发射装置发出超声波,根据接收器接到的超声波时的时间差就可以计算出距离了。这与雷达测距原理相似。 超声波发射器向某一物体发射超声波,在发射时刻的马上开场计时,超声波在空气中传播,途中碰到障碍物就立即折返回来,超声波接收器收到反射波就立即停顿计时。超声波在空气中传播的速度为340m/s,根据计时器记录下的时间t,就可以计算出发射点距障碍物的距离s,即:s=340t/21.3.2 超声波传感器的分类为了研究和利用超声波,人们已经设计和制造了许多超声波发生器。总体上

20、讲,超声波发生器可以分为两大类:一类是用电气方式产生超声波,一类是用机械方式产生超声波。电气方式包括压电式、磁致伸缩型和电动型等。机械方式有加尔统笛、液哨和气流旋笛等。它们所产生的超声波的频率、功率和声波特性不一样,因而用途也也不一样。目前,常用的是压电式超声波发生器。压电式超声波发生器是利用压电陶瓷晶体的谐振来工作的。它内部构造有两个压电芯片和一个共振板。当两极外加脉冲信号,其频率等于晶体的固有频率时,压电芯片将会发生共振,并且带动共振板振动,便可以产生超声波。如果两电极间没有外加电压,当共振板接收到超声波时,将压迫压电芯片做成共振,把机械能转化成电信号,这时就形成了超声波接收器。1.3.3

21、 超声波测距的特点超声波的指向性强,能量消耗缓慢,在介质中传播距离较远,因而超声波经常用于距离的测量,如测距仪和物位测量仪等都可以采用超声波来实现。利用超声波检测往往比较迅速、方便、易于做到实时控制,并且在测量精度方面能够到达工业实际的要求,因此在移动机器人的研制上也得到了广泛的应用。由于超声实现方便,技术成熟,本钱低,且周围环境对于超声波的影响也不大,所以超声波避障成为智能小车常用的避障方法。从国内外研究情况来看,超声波装置主要用作测距,通过测量声源与目标物之间的往返传播时间,求得目标物的距离。但是在有些情况下,超声波传感器是光学系统无可比较的。超声波传感器的优点主要表现如下: 1、对于黑暗

22、的环境和物体,超声波传感器几乎不受恶劣环境的影响,仍然能实时获得准确的探测障碍物信息,并反响给信息处理设备。 2、和光学传感器相比,超声波传感器不仅能探测到障碍物的存在,而且能够得到障碍物与智能小车的距离,以便于做出正确的决策。3、虽然光传播速度比声音快,但计算机控制器延时和电机响应速度等特点将限制机器执行任务的速度,因此光速快的优势并不明显。2 元器件介绍2.1 红外传感器TCRT5000TCRT5000光电传感器模块是基于TCRT5000芯片设计的一款红外反射式光电开关。传感器采用高发射功率红外光电二极管和高灵敏度光电晶体管组成,输出的信号经施密特电路整形,反响迅速,稳定可靠。可应用场合:

23、1电度表脉冲数据采样。2 机碎纸机纸X检测。3障碍检测。4黑白线检测。传感器的红外发射二极管不断发射出红外线,当发射出的红外线没有被反射回来或被反射回来的强度不够大时,光敏三极管一直处于关断状态,此时模块的输出端为低电平,指示二极管一直处于熄灭的状态;当被检测的物体出现在可检测X围内时,红外线被反射回来且强度足够大,光敏三极管饱和,此时模块的输出端为高电平,指示二极管被点亮。如下列图所示。图2.1 TCRT5000实物图图2.2 TCRT5000引脚图2.2 比较器LM393LM393是双电压比较器的集成电路,输出负载电阻能够衔接在可允许电源电压X围内的任何电源电压上,而不受Vcc端电压值的限

24、制。此输出能够作为一个简单的对地SPS开路(当不用负载电阻时没被运用),输出局部的陷电流被可能得到的驱动和器件的值所限制。当到达极限电流(16mA)时,输出的晶体管将退出且输出的电压将很快上升。LM393的输出局部是集电极开路,发射极接地的NPN输出晶体管,可以用多集电极输出提供或OR ing功能。此输出能作为一个简单的对地SPS开路(当不用负载电阻没被运用),输出局部的陷电流被可能得到的驱动和器件的值所限制。当到达极限电流(16mA)时,输出晶体管将退出而且输出电压会很快上升。输出饱和电压被输出晶体管大约60ohm 的SAT限制。当负载电流很小时,输出晶体管的低失调电压(约1.0mV)允许输

25、出箝位那么在零电平。LM393内部采用双列直插8脚塑料封装DIP8和微形的双列8 脚塑料封装SOP8,如下列图所示。图2.3 LM393比较器实物图图2.4 LM393比较器引脚图2.3 LM7805稳压芯片三端稳压集成电路LM7805。电子产品中,常见的三端稳压集成电路中有正电压输出的lm78 系列和负电压输出的lm79系列。顾名思义,三端IC是指这种稳压电路用的集成电路,有三条引脚输出,分别是输入端、接地端和输出端。它的样子象是普通的三极管,TO-220的标准封装,也有lm9013样子的TO-92封装。当制作中需要一个能输出1.5A以上电流的稳压电源,通常采用几块三端稳压电路并联起来,使其

26、最大输出电流为N个1.5A,但应用时需注意:并联使用的集成稳压电路电子元器件应采用同一厂家、同一批号的产品,以保证参数的一致性。另外在输出电流上应该留有一定的余量,以防止个别集成稳压电路失效时导致其他电路的连锁烧毁。LM7805三端稳压IC内部电路具有过压保护、过流保护、过热保护等功能,这能使它的性能更加稳定,从而能够实现1A以上的输出电流。该器件具有良好的温度系数,因此产品的应用X围十分广泛。可以运用本地调节来消除噪声的影响,解决了与单点调节有关的分散问题,输出电压的误差精度分为3%和5%两种。7805三端稳压IC在电路运用中应注意以下事项:输入输出压差不能太大,太大会导致转换效率急速下降,

27、而且容易使元件击穿损坏。最高输入电压不能超过35V; 输出电流不能太大,1.5A 是其极限值。由于有大电流的输出,散热片的尺寸要足够大,否那么温度过高时会导致高温保护或热击穿; 同时,输入输出压差也不能太小,低于2V时,稳压效率急速下降。7805引脚正确的顺序:1脚接输入,2脚接地,3脚接输出。如下列图所示。图2.5 LM7805实物图2.4 L298N电机驱动芯片L298N 是一种双H桥电机驱动芯片,其中每个H桥可以单独提供2A的电流,功率局部的供电电压X围是2.5-48v,逻辑局部5v供电,承受5v的TTL电平。一般情况下,功率局部的电压应当大于6V,否那么芯片可能不能正常工作。L298N

28、电机驱动芯片的参数:(1)逻辑局部输入电压:67V(2)驱动局部输入电压Vs:4.846V(3)逻辑局部工作电流Iss:36mA(4)驱动局部工作电流Io:2A(5)最大耗散功率:25WT=75(6)控制信号输入电平:高电平:2.3VVinVs,低电平:-0.3VVin1.5V(7)工作温度:-25130(8)驱动形式:双路大功率H桥驱动L298N的使用方法:L298N可承受标准TTL逻辑电平信号VSS,VSS可接457V的电压。4脚VS接电源电压,VS电压X围VIH在2546V。输出电流可到达25A,可驱动电感性负载。1脚和15脚下管的发射极分别单独引出以便接入电流采样电阻,形成电流传感信号

29、。一个L298可驱动2个电动机,OUT1,OUT2和OUT3,OUT4之间可分别接电动机。实际运用中我们控制一个电动机,只需控制5,7,10,12脚的输入电平,从而来控制电机的正反转。EnA,EnB接控制使能端,控制电机的停转。如下列图所示。图2.6 L298N实物图图2.7 L298N引脚图3 硬件系统设计3.1 电源模块在本系统中,需要用到的电源有单片机的5V,L298N芯片的电源5V和电机的电源715V。所以需要提供正确和稳定可靠的电源。 方法1:用9V的锌电源给前、后轮电机供电,然后使用7805稳压管来把高电压稳成5V分别给单片机和电机驱动芯片供电。这种接法比较简单,但小车的电路功耗过

30、大会导致后轮电机动力缺乏。 方法2:采用双电源。为了确保单片机控制局部和电机驱动的局部的电压不会互相影响,要把单片机的供电和驱动电路分开来,即:用直流可调电压源10V来驱动电机芯片,然后用7805稳压管来稳成5V供应单片机,这样有助于消除电机干扰,提高系统的稳定性。基于以上分析,选择方法2。以下为电源模块图。图3.1 电源模块实物图图3.2电源模块3.2 电机驱动模块L298N是ST公司生产的芯片。该种型号的特点是:最高工作电压可达46V;输出电流大,瞬间峰值电流可达3A,持续工作电流为2A;内含两个H桥的高电压大电流全桥式驱动器,可以用来驱动直流电动机和步进电动机、继电器线圈等感性负载;采用

31、标准逻辑电平信号控制;具有两个使能控制端,在不受输入信号影响的情况下允许或制止器件工作有一个逻辑电源输入端,使内部逻辑电路局部在低电压下工作;并且可以外接检测电阻,将变化量反响给控制电路。使用L298N驱动电机,该芯片可以驱动两个二相电机,也可以驱动一个四相电机,可以直接通过电源来调节输出电压。L298N芯片采用15脚封装。其芯片的特点是:工作电压较高,最高工作电压可高达46V,并且可以驱动两个电机,可以直接通过电源来调节输出电压;可以直接用单片机的I/O口提供控制信号,而且电路设计简单,使用比较方便。经实验比较,L298N驱动模块运行可靠,取得效果较好,而且电路的电气性能和散热性能较好,本设

32、计选用L298N驱动模块。下列图为电机驱动模块图。图3.3 L298N电机驱动模块实物图 图3.4 L298N电机驱动模块3.3 循迹模块小车循迹功能的原理是小车在画有黑线的白纸“路面上行驶,由于黑线和白纸对光线的反射系数不同,可根据接收到的反射光的强弱来判断“道路黑线。本设计采用的是红外探测法,选用TCRT5000,在小车行驶过程中不断地向地面发射红外光,当红外光遇到白色地面时发生漫发射,反射光能够被装在小车上的接收管所接收;如果遇到黑线时那么红外光被黑线所吸收,那么小车上的接收管那么接收不到信号,再通过LM393作比较器来采集上下电平,从而实现信号的检测,然后再发送信号到单片机。如下列图所

33、示。图3.5 循迹模块实物图图3.6 循迹模块电路图3.4 超声波模块本设计采用HC-SR04超声波测距模块,为非接触式距离感测功能,测量精度可高到达3mm;模块包括超声波发射器、接收器与控制电路。如下列图所示。图3.7 HC-SR04模块实物图3.4.1 超声波测距根本工作原理(1) 采用IO口控制TRIG来触发测距,给至少10us的高电平信号;(2) 模块自动发送8个40khz的方波,并且自动检测是否有信号返回;(3) 当有信号返回,通过IO口ECHO输出一个高电平,那么高电平持续时间就是超声波从发射到返回的时间。测试距离=高电平时间*声速340M/S/2。3.4.2 HC-SR04主要技

34、术参数表3.1 HC-SR04电气参数电气参数HC-SR04超声波模块工作电压DC5V工作电流15mA工作频率40kHZ最远射程4m最近射程2cm测量角度15度输入触发信号10us的TTL脉冲输出回响信号输出TTL电平信号规格尺寸45*20*15mm表3.2 HC-SR04各pin接口定义从左到右依次编号1.2.3.4.5各自定义1号Pin接VCC电源2.4V5.5V2号Pin为电平触发时接Trig端 3号Pin为电平触发时接Echo端4号Pin接外部电路的地考前须知:1:此模块不适合带电连接,如果要带电连接的话,那么先让模块的Gnd端先连接,否那么会影响超声波模块正常工作。2:测距时,被测物

35、体的面积要不少于0.5平方米并且要尽量平整,否那么会影响测试结果。3.5 MSP430单片机最小系统3.5.1 电源电路MSP430G2553单片机为3.3V供电,整个系统大局部都是采用3.3V电源,应该考虑到系统对电源要求应具有稳定的电压和纹波小等特点,另外也应考虑到硬件系统的低功耗等特点,为了与其他模块的引脚电压相匹配,因此该硬件系统采用LT1086电源芯片实现,该芯片能很好的满足该硬件系统的要求,另外该芯片具有很小的封装,因此能有效的节约PCB板的面积,为了使输出的电源纹波小,再输出局部用了几个电容,另外在芯片的输入端也放置一个10uF的滤波电容,减少输入端受到的干扰。3.5.2 晶振电

36、路、复位电路及接口电路MSP430单片机时钟模块包括了数控振荡器4(DCO)、高速晶体振荡器和低速晶体振荡器等3个时钟源。这是为了解决系统对处理数据要求速度和低功耗要求的矛盾,通过设计多个时钟源为时钟设计提供各种不同工作模式,才能解决某些外围部件实时应用的时钟要求。如低频通信、LCD显示、定时器、计数器等。由于数字控制振荡器DCO已经集成在MSP430内部,在系统中只需设计高速晶体振荡器和低速晶体振荡器两局部电路。低速晶体振荡器(LFXTl)满足了低功耗及使用32.768kHz晶振4的要求。LFXTl振荡器默认工作在低频模式,即32.768kHz,也可以通过外接450kHz8MHz的高速晶体振

37、荡器或陶瓷谐振器工作在高频模式,高速晶振也称为第二振荡器XT2,它为MSP430G2553工作在高频模式时提供时钟,XT2最高可达8MHz。在系统中XT2采用4MHz的晶体,XT2外接2个22pF的电容经过XT2IN和XT2OUT连接到MCU。在本设计中我们使用低频模式,晶振外接2个22pF的电容3经过XIN和XOUT连接到MCU。如下列图所示。图3.8 晶振电路图3.9 复位电路图3.10 接口电路4 软件系统设计4.1 主程序 中断效劳程序里始终使用超声波测距模块检测距离,并且送回主程序内实时判断这个值与设置值的大小,当这个值小于设定值时,电机马上停顿运转,小车停车。当这个值大于设定值时,

38、执行循迹程序与电机控制程序。从到达小车的循迹及避障功能。通过下面的程序及流程图2,可以看到主程序的运行流程。图4.1 主程序流程图voidmain(void)WDTCTL=WDTPW+WDTHOLD; /关闭看门狗BCSCTL1 = CALBC1_1MHZ; /根本时钟系统DCOCTL = CALDCO_1MHZ; /采用DC晶振,时钟为1MHZP1DIR |=(BIT0+BIT1+BIT3+BIT4+BIT5+BIT6+BIT7);/设置IO口为输出P2DIR |=(BIT0+BIT1+BIT2); /设置IO口为输出 P2DIR &=(BIT3+BIT4+BIT5); /设置IO口为输入

39、P1DIR &=BIT2; /设置P12为输入脚 P1SEL |=BIT2; /TA0.1,超声波的信号脚echo TACTL|=TASSEL_2+ID_0+MC_2+TAIE+TACLR; /定时器A/子系统时钟,不分频,连续计数,定时器中断允许,定时器去除位 TACCTL1=CAP+CCIS_0+CM_3+CCIE+SCS; /捕获比较控制器1/捕获模式,选择CCIxA,上升下降沿都捕获,使能捕获,同步捕获 TACCR1=0; /定时器初始值 _EINT(); /使能定时器中断while(1) send_15us(); /送15us给trig控制脚if(distance17) /如果距离小

40、于17cm,电机就停顿转动,小车停顿motorstop();elsexunji(); /否那么小车就运行循迹程序4.2 电机转向控制程序通过控制两个L298N的使能端和控制端来控制四个电机,从而控制小车的停顿、行驶以及转向的控制。voidmotorstop(void) P1OUT &=EN; /小车总使能脚为0时,电机停顿voidmotorrun(void) /小车前进 P1OUT |=EN; /使能脚为1 P1OUT |=C1_1; P1OUT &=C1_2; /motor1 正转 P1OUT |=C2_1; P2OUT &=C2_2; /motor2 正转 P1OUT |=C3_1; P1

41、OUT &=C3_2; /motor3 正转 P2OUT |=C4_1; P2OUT &=C4_2; /motor4 正转voidmotorretreat(void) /小车后退 P1OUT |=EN; /使能脚为1 P1OUT &=C1_1; P1OUT |=C1_2; / motor1 反转 P1OUT &=C2_1; P2OUT |=C2_2;/ motor2 反转 P1OUT &=C3_1; / motor3 反转 P1OUT |=C3_2; P2OUT &=C4_1; / motor4 反转 P2OUT |=C4_2;voidmotorleft(void) /左转 P1OUT |=E

42、N; /使能脚为1 P1OUT &=C1_1; P1OUT |=C1_2; /motor1 反转 P1OUT |=C2_1; P2OUT &=C2_2; / motor2 正转 P1OUT |=C3_1; P1OUT &=C3_2; / motor3 正转 P2OUT |=C4_1; P2OUT &=C4_2; / motor4 正转voidmotorbigleft(void) /大左转 P1OUT |=EN; /使能脚为1 P1OUT &=C1_1; P1OUT |=C1_2; /motor1 反转 P1OUT |=C2_1; P2OUT &=C2_2; / motor2 正转 P1OUT

43、&=C3_1; P1OUT |=C3_2; / motor3 反转 P2OUT |=C4_1; P2OUT &=C4_2; / motor4 正转voidmotorright(void) /右转 P1OUT |=EN; /使能脚为1 P1OUT |=C1_1; P1OUT &=C1_2; /motor1 正转 P1OUT &=C2_1; P2OUT |=C2_2; /motor2 反转 P1OUT |=C3_1; P1OUT &=C3_2; /motor3 正转 P2OUT |=C4_1; P2OUT &=C4_2; /motor4 正转voidmotorbigright(void) /大右转

44、 P1OUT |=EN; /使能脚为1 P1OUT |=C1_1; P1OUT &=C1_2; /motor1 正转 P1OUT &=C2_1; P2OUT |=C2_2; /motor2 反转 P1OUT |=C3_1; P1OUT &=C3_2; /motor3 正转 P2OUT &=C4_1; P2OUT |=C4_2; /motor4 反转4.3 红外循迹控制程序循迹模块始终检测线路信号,并把这个信号送回单片机IO存放器,单片机处理检测到的信号,运行相关的电机控制程序。在下面的循迹流程图1可看出。图4.2 红外循迹控制程序流程图voidxunji(void) /P2.3, P2.4,

45、P2.5为循迹模块的信号输出脚switch(P2IN&0x38) /switch程序入口 case 0x38: /0011 1000 motorrun(); /小车前进break;case 0x30: /0011 0000 motorleft(); break; /小车左转case 0x20: /0010 0000 motorbigleft();break; /小车大左转case 0x18: /0001 1000 motorright(); break; /小车右转case 0x8: /0000 1000 motorbigright(); break; /小车大右转case 0: /0000

46、0000 motorretreat(); /小车后退break;default: /如果是其它情况时 motorstop(); /小车停顿break; 4.4 超声波测距程序 超声波测距程序放在中断效劳程序里执行,采用IO口控制TRIG来触发测距,给至少为10us的高电平信号, 模块自动发送8个40khz的方波,自动检测是否有信号返回, 有信号返回,通过IO口ECHO输出一个高电平,高电平持续的时间就是超声波从发射到返回的时间。那么距离=高电平时间*声速340M/S/2。超声波测距程序的流程图由下列图所示。图4.3 超声波测距流程图#pragma vector =TIMER0_A1_VECTO

47、R /定时器1_interruptvoidTimer_A(void)switch(TA0IV) /Timer0_A CC1产生的中断,P12上升下降都能产生中断case 2: /CCR1捕获存放器中断入口,上升沿下降沿都能产生中断if(TACCTL1&CCI) /捕获比较器输入信号位P12result_start=CCR1; /置1时记录此时CCR1的数据break;elseresult_end=CCR1; /置0时记录此时CCR1的数据temp=result_end-result_start;/temp为其间间隔的差值distance=temp*0.0175; /将该数据转换成距离break

48、;case 4: break; /CCR2捕获存放器中断入口,该中断没有用到case 10:break; /定时器A在满16位时将产生计数溢出中断5 常用软件介绍5.1 Proteus介绍PROTEUS软件是由英国Labcenterelectronics公司所研发的EDA工程软件。它是一个集模拟电路、数字电路、模/数混合电路以及多种微控制器系统为一体的系统设计的仿真平台。是目前同类型软件中最先进、最完整的电子设计类仿真平台之一。其真正实现了在计算机上完成从原理图、电路分析与仿真、单片机代码调试与仿真、系统测试与功能测试到PCB板生成的完整的电子产品研发过程。5.1.1 电路图的绘制运行的程序后

49、,进入该仿真软件的主界面,作图步骤:1绘图前,为了便于作图,可作一些设置。如设置栅格、栅格捕捉精度、图形界面颜色、大小等。2通过对象选择按钮P,利用其搜索引擎,将所需元器件参加到对象选择器窗口。列元件清单,如下表所示。表5.1 元件清单元件名称型号数量Proteus中的名称单片机芯片MSP4301MAP430晶振12MHz1CRYSTAL电容22PF2CAP电解电容22uF1CAP-ELEC发光二极管Led1LED-RED电阻2208RES3放置元器件至图形的编辑窗口,并调整适宜位置。4可以修改属性,如电阻上可以设置电阻值大小。5可以放置总线至图形编辑窗口。6元器件之间连线以及元器件与总线的连

50、线。7给与总线连接的导线附上标签,以便于系统正确识别。5.1.2 Proteus仿真建立工程的流程图5.1 翻开Proteus界面图5.2 在搜索栏可以搜索所需要的元器件图5.3 输入所需要元器件的名字 图5.4 得到所需要的元器件图5.5 根据各元器件得到的总仿真 图5.6 烧录所需要的文件 图5.7 点击左下角可以进展仿真5.2 Code poser Studio(CCSv5.1)软件CCSCodeposerStudio是TI公司所研发的一款具有环境配置、源文件编辑、程序调试、仿真跟踪和分析等功能的集成开发环境,能够帮助用户在一个软件环境下完成编辑、编译、调试和数据分析等工作。CCSv5.

51、1为CCS软件的最新版本,功能更强大、性能更稳定、可用性更高,是MSP430软件开发的非常实用的工具。5.2.1 CCSv5.1软件建立工程的流程 图5.8 翻开CCS软件图5.9 新建工程图5.10 选择建立工程的路径 图5.11 选择芯片型号 图5.12 选择单片机与电脑连接的方式 图5.13 选择空的工程文件 图5.14 点击Finish便完成新工程的建立5.2.2 CCSv5.1编写程序及调试的流程 图5.15 新建的工程的界面 图5.16 输入代码得到完整的程序图5.17 点击此两键进展编译和仿真 图5.18 进入仿真界面 图5.19 点击这几个键可进展仿真及调试结论历时三个月的设计

52、过程中,我一边查阅资料,一边在实验室焊接小车的线路板。在焊接过程中,我感觉到即使是一个简单的电路,要想很轻松的焊好,也不是很容易的事情。有时可能是电路“虚焊的原因,有时可能是阻值选错。这使我深深感受到理论与实际间的差距。通过这样的设计,提高了我自身的动手能力。每天在实验室除了焊接线路板,而且进展上机编程,使我软件上的编写以及调试的能力也提高了不少。 本次毕业设计制作智能循迹避障小车过程中,完成了智能小车的硬件设计与软件调试工作。在实践的过程中,熟悉了以MSP430G2553单片机为核心控制芯片,设计小车的检测、电机驱动、循迹等外围电路,采用算法实现小车的智能控制。灵活运用了所学到的相关学科的理

53、论知识,结合实际电路设计的具体实现方法,到达了理论和实际的统一。在此过程中,加深我对理论知识的理解和认识。从硬件设计到软件设计中,我遇到了很多问题,也解决了很多问题。比方元器件的正负极性可能接反,单片机电压和电机驱动电压相互干扰,比较器能判别的电压大小不够等等问题。在这个过程中,加深了理论知识,提高了动手能力,也提高自己编写程序时的规X性,逻辑性。当我遇到其他问题时,我也会严谨认真地去解决它。致谢感谢隋涛教师,这次毕业设计制作过程中从始至终都得到了随教师的耐心指导和细心教育。在隋教师的身上,我不仅学到了教师在课上传授的专业知识,同时给我提供相关的硬件元件,也提供了珍贵的学习资料,教会了我如何完

54、整地制作一个毕业设计。所谓的“送人一鱼,仅供一饭之需;而授之以渔,那么终生受用无穷。让我受益终生。感谢X征宇同学,在我制作过程中,给我提出了珍贵的编写程序上思路和方法,在最后还给我提出了许多珍贵的建议,让我毕业设计的整个过程少走了很多弯路,让我的毕业设计的硬件和软件得以顺利完成。感谢自己,当我遇到问题时,没有气馁。一次次的失败没有让我放弃,而是给我积累了珍贵的经历,让我不断进步。参考文献1马秀丽.C语言程序设计.清华大学,2007:21-252谭浩强.C程序设计第三版M.:清华大学,2021.5:20-233李群芳.单片微型计算机与接口技术.电子工业,2021.7:22-244杨艳.从零开启大

55、学生电子设计之路. 航空航天大学,2021.8:50-555安岩.自动循迹智能小车的设计论文.XX科技学院学报,2004.16马忠梅,李月香.单片机内部资源的C语言编程.微计算应用,20077杨兵,X伟杰.一种基于可视图的机器人避障路径规划.电脑知识与技术,20218罗志增.循迹小车感觉与多信息融合M.:机械工业,20039蔡自兴编著.中国的智能循迹小车研究J.XX学院学报,2002,910X林编著.智能循迹小车主题型号工作的回忆J.循迹小车技术与应用,2001.11欧青立,何刻忠.等编著.室外智能循迹小车的开展及其关键技术研究,200012恒盛杰.Protel电路板设计从入门到精通.第1版.

56、中国青年,200613江思敏,陈明Protel电路设计教程.第1版.清华大学,200614杨素行.模拟电子技术根底简明教程第2版.高等教育,200515余孟尝.数字电子技术根底简明教程.第2版.高等教育,202116李全利,迟荣强编著单片机原理及接口技术.第1版.高等教育,200417王守中51单片机开发入门与典型实例.第1版.人民邮电,2007附录A 英文文献Principle of MCUSingle-chip is an integrated on a single chip a plete puter system. Even though most of his features i

57、n a small chip, but it has a need to plete the majority of puter ponents: CPU, memory, internal and external bus system, most will have the Core. At the same time, such as integrated munication interfaces, timers, real-time clock and other peripheral equipment. And now the most powerful single-chip

58、microputer system can even voice, image, networking, input and output plex system integration on a single chip.Also known as single-chip MCU (Microcontroller), because it was first used in the field of industrial control. Only by the single-chip CPU chip developed from the dedicated processor. The design concept is the first by a large number of peripher

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!