2011年某本部学生论文范文

上传人:hjk****65 文档编号:104697385 上传时间:2022-06-10 格式:DOC 页数:47 大小:486.50KB
收藏 版权申诉 举报 下载
2011年某本部学生论文范文_第1页
第1页 / 共47页
2011年某本部学生论文范文_第2页
第2页 / 共47页
2011年某本部学生论文范文_第3页
第3页 / 共47页
资源描述:

《2011年某本部学生论文范文》由会员分享,可在线阅读,更多相关《2011年某本部学生论文范文(47页珍藏版)》请在装配图网上搜索。

1、湖 南 科 技 大 学毕 业 设 计( 论 文 )题目基于VHDL的计程车计费系统设计作者学院信息与电气工程专业通信工程学号指导教师二一一年 六 月 一 日湖 南 科 技 大 学毕业设计(论文)任务书 信息与电气工程 学院 通信工程 系(教研室)系(教研室)主任: (签名) 年 月 日学生姓名: 王岩 学号: 0704040302 专业: 通信工程 1 设计(论文)题目及专题: 基于VHDL的计程车计费系统设计 2 学生设计(论文)时间:自2011年3月1日开始至2011年6月1日止3 设计(论文)所用资源和参考资料:李国洪.可编程器件EDA技术与实践M.北京:机械工业出版社,2004:100

2、-123. 2张玉杰,马立云,张贺艳.基于ARM和FPGA的LED显示屏控制系统的设计J.计算机测量与控制, 2009,17(12):12. 3翟殿堂,方敏,历光伟.基于EDA的多功能计时器设计J.信息技术,2008,17(11):39-45. 4张立,张光新,柴磊,周泽魁.FPGA在多功能计费器系统中的应用J.仪器仪表报,2005,26(28):25-27. 5潭会生,张昌凡.EDA技术及应用J.西安:电子科技大学出版社.2001,16(2) : 46-48. 4 设计(论文)应完成的主要内容:(1).前言;(2).EDA技术概述; (3).硬件描述语言VHDL;(4).出租车计费系统的设计

3、; (5).基于VHDL的出租车计费系统的实现; (6).系统仿真。 5 提交设计(论文)形式(设计说明与图纸或论文等)及要求:(1).撰写论文;(2).论文要求字数达2万字,提供电子版和文字版;(3).论文包括目录、中英文摘要、关键词、方案选择及确定、技术要求、设计过程及参数计算、软件流程图及源程序、调试方法及步骤、小结等。 6 发题时间: 2011 年 3 月 1 日指导教师: (签名)学 生: (签名)湖 南 科 技 大 学毕业设计(论文)指导人评语主要对学生毕业设计(论文)的工作态度,研究内容与方法,工作量,文献应用,创新性,实用性,科学性,文本(图纸)规范程度,存在的不足等进行综合评

4、价指导人: (签名)年 月 日 指导人评定成绩: 湖 南 科 技 大 学毕业设计(论文)评阅人评语主要对学生毕业设计(论文)的文本格式、图纸规范程度,工作量,研究内容与方法,实用性与科学性,结论和存在的不足等进行综合评价评阅人: (签名)年 月 日 评阅人评定成绩: 湖 南 科 技 大 学毕业设计(论文)答辩记录日期: 学生: 学号: 班级: 题目: 提交毕业设计(论文)答辩委员会下列材料:1 设计(论文)说明书共页2 设计(论文)图 纸共页3 指导人、评阅人评语共页毕业设计(论文)答辩委员会评语:主要对学生毕业设计(论文)的研究思路,设计(论文)质量,文本图纸规范程度和对设计(论文)的介绍,

5、回答问题情况等进行综合评价答辩委员会主任: (签名)委员: (签名)(签名)(签名)(签名) 答辩成绩: 总评成绩: 摘 要现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要因素是集成电路的高速发展,各种设计、仿真、制造集成电路的工具也在高速发展。如EDA技术的发展及CPLD和FPGA的出现,电子系统的设计技术和工具发生了巨大的变化,通过EDA技术对FPGA编程开发产品,不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能,给设计人员带来了诸多方便。本文介绍了一种采用EDA技术进行出租车计费系统的设计方法,主要阐述如何

6、使用新兴的EDA 器件取代传统的电子设计方法,利用可编程逻辑器件,简洁而又多变的设计方法,缩短了研发周期,同时使出租车计费系统体积更小功能更强大。使用VHDL 语言进行编程,使其具有了更强的移植性,更加利于产品升级。利用Max+plus II 10.2对所设计出租车计费系统的VHDL代码进行了仿真。关键词:EDA;VHDL ;Max+plus II;出租车计费系统AbstractIn nowadays, the capability and complexity of electronic manufacture have been greatly improved, but the pric

7、e is reduced, and the updating speed of electronic manufacture is quite fast. The main reason for this is the development of integrate circuit, at the same time, the tools for design、simulator、integrate circuit making are developed with high speed. For example, the invention of EDA technology and la

8、rge-scale programmable logic device FPGA leads to a big change to electronic system design technology. By using EDA technology to develop CPLD and FPGA, it will help deviser to reduce cost, shorten cycle, and advance reliability, and also by using it, its possible to amend the logical function in sy

9、stem at any moment. This article presents a method of how to use EDA to design a taxi charge system, and how to replace traditional electrical design with burgeoning EDA parts. The develop period can be short because of the programmable PLD and the design method which is simple and variable. It beco

10、mes more transplantable and easier to upgrade by programming with VHDL language Emulate taxi fare register VHDL code by using Max+plus II10.2.Keywords: EDA;VHDL;Max+plus II;Taxi Charge System湖南科技大学本科生毕业设计(论文)目 录第一章 前言11.1 课题背景 11.2 出租车计费器现状及发展趋势 2第二章 EDA技术概述42.1 EDA技术简介 42.2 EDA技术的主要特征 42.3 EDA发展趋势

11、7第三章 硬件描述语言VHDL93.1 VHDL简介 93.2 VHDL语言的特点 93.3 VHDL设计方法 10第四章 出租车计费系统的设计144.1 出租车计费目标 144.2 基本设计思想 144.3 设计方案比较 15第五章 基于VHDL的出租车计费系统的实现185.1 系统的总体设计 185.2 系统的主要模块实现 195.2.1计费模块的实现 195.2.2十进制转换模块的实现 205.2.3车费路程显示模块的实现 215.2.4八进制选择模块实现 225.2.5显示译码模块实现 23第六章 系统仿真256.1 仿真工具MAX+PLUS 256.1.1软件功能简介 256.1.2

12、软件组成 256.1.3软件设计流程 266.2 仿真结果 276.2.1十进制转换模块的仿真结果 276.2.2计费模块的仿真结果 276.2.3车费路程显示模块的仿真结果 286.2.4八进制选择模块的结果验证 286.2.5显示译码模块的结果验证 29第七章 结论30参考文献 31致谢 32附录A 33- 38 -湖南科技大学本科生毕业设计(论文)第一章 前 言1.1 课题背景随着出租车行业的发展,对出租车计费器的要求也越来越高。二十世纪后半期,随着集成电路和计算机技术的飞速发展,数字系统也得到了飞速发展,其实现方法经历了由分立元件、SSI、MSI到LSI、VLSI以及UVLSI的过程。

13、同时为了提高系统的可靠性与通用性,微处理器和专业集成电路(ASIC)逐渐取代了通用全硬件LSI电路,而ASIC以其体积小、重量轻、功耗低、速度快、成本低、保密性好而脱颖而出。目前,业界大量可编程逻辑器件(PLD),尤其是现场可编程逻辑器件(FPLD)被大量地应用在ASIC的制作当中。在可编程集成电路的开发过程中,以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果的电子设计自动化(EDA)技术主要能辅助进行三方面的设计工作:IC设计,电子电路设计以及PCB设计。理想的可编程逻辑开发系统能符合大量的设计要求:它能够支持不同结构的器件,在多种平台运行,提供易于使用的界面,并且有广

14、泛的特征。此外,一个设计系统应该能给设计师提供充分自由的设计输入方法和设计工具选择。Altered公司开发的MAX+PLUS开发系统能充分满足可编程逻辑设计所有要求。MAX+PLUS设计环境所提供的灵活性和高效性是无可比拟的。其丰富的图形界面,辅之以完整的、可及时访问的在线文档,使设计人员能够轻松、愉快地掌握和使用MAX+PLUS软件。编程器是一种专门用于对可编程器(如EPROM,EEPROM,GAL,CPLD,PAL等)进行编程的专业设备PLD器件的逻辑功能描述一般分为原理图描述和硬件描述语言描述,原理图描述是一种直观简便的方法,它可以将现有的小规模集成电路实现的功能直接用PLD器件来实现,

15、而不必去将现有的电路用语言来描述,但电路图描述方法无法做到简练;硬件描述语言描述是可编程器件设计的另一种描述方法,语言描述可能精确和简练地表示电路的逻辑功能,现在PLD的设计过程中广泛使用。常用的硬件描述语言有ABEL,VHDL语言等,其中ABEL是一种简单的硬件描述语言,其支持布尔方程、真值表、状态机等逻辑描述,适用于计数器、译码器、运算电路、比较器等逻辑功能的描述;VHDL语言是一种行为描述语言,其编结构类似于计算机中的C语言,在描述复杂逻辑设计时,非常简洁,具有很强的逻辑描述和仿真能力,是未来硬件设计语言的主流 李国洪.可编程器件EDA技术与实践M.北京:机械工业出版社,2004:100

16、-123.。VHDL就是超高速集成电路硬件描述语言。覆盖面广,描述能力强,是一个多层次的硬件描述语言。在VHDL语言中,设计的原始描述可以非常简练,经过层层加强后,最终可成为直接付诸生产的电路或版图参数描述。具有良好的可读性,即容易被计算机接受,也容易被读者理解。使用期长,不会因工艺变化而使描述过时。因为VHDL的硬件描述与工艺无关,当工艺改变时,只需修改相应程序中的属性参数即可。支持大规模设计的分解和已有设计的再利用。一个大规模的设计不可能由一个人独立完成,必须由多人共同承担,VHDL为设计的分解和设计的再利用提供了有力的支持。21.2 目前出租车计价器存在的问题和改进方案出租车计费器是一种

17、按照一定的要求自动进行计费的装置,应用在出租车上使出租车行业的收费更加规范、方便。目前市场上使用的出租车计费器主要采用的都是利用微控器如89C51、PD78F0034 单片机实现的计费器设计,该系列单片机工作频率一般不大于24MHz,而且可拓展资源有限3。传统的出租车计费器由于发展使用了十几年,在稳定性、成本、以及使用习惯上都具有一些优势,但在营运过程中系统不是很稳定,有时造成死机的现象。而且不同国家和地区的计费方式存在差异,有些地区有夜间收费及郊区收费等,而有些地区则无夜间收费和郊区收费;即使同一地区,不同车型的出租车,其计费方式也有差别;由于个别地区对计费器有特殊要求,有时必须修改软件;另

18、外,计费器还面临软件升级问题;因而,所设计的计费器应能很方便地重新编程。另一方面,由于油价的不断上涨,出租车还面临调价或调整计费方式等问题。4随着我国国民经济的高速发展,出租汽车已成为城市公共交通的重要组成部分。近几年来,出租车汽车行业在各地蓬勃发展,出租车经营也从无序状态逐渐走入正轨。出租车计价器成为出租车运营中必配的、可靠的计量器具,其使用准确性直接关系到经营者与乘客的经济利益。但在实际运营中,总有不少经营者或乘客反映相同路径,不同的出租车的收费有较大的出入。目前出租车计价器存在的问题主要有:(1)计价器作弊的问题,有些出租汽车司机在计价器上做手脚,多收乘客租金。常见的手段是:输入非法脉冲

19、,计价器主要靠传感器发出的脉冲来计数,脉冲数的多少即汽车行驶里程的多少。作弊者利用这一原理,额外向计价器输入脉冲,其方法多种多样如利用车内收音机、电动剃须刀。(2)毛刺(竞争一冒险)现象。主要影响数字系统设计有效性和可靠性的主要因素,由于毛刺的存在,使得系统存在诸多潜在的不稳定因素,尤其是对尖峰脉冲或脉冲边沿敏感的电路就更是如此。毛刺通常主要对电路的触发清零端CLR、触发复位端RESET、CP端、锁存器的门控端和专用芯片的控制端等产生严重的影响,会使电路发生误动作,从而造成数字系统的逻辑混乱。5相对于计价器作弊的问题,改进的方法:(1)传感器输出编码脉冲。传感器输出的脉冲其脉冲宽度、占空比等参

20、数都是可变的,使计价器与传感器对号入座。(2)传感器输出加密脉冲,近年来由于电子技术的发展,已有商品化的加、解密器件供应,只要在传感器与计价器之间加入这种器件,就可以使计价器发出的加密脉冲,而其他传感器或脉冲一概不认。(3)加入启动速度的判别电路:利用汽车启动时速度总是由零逐步增高的道理,而非法的外加脉冲总是以固定速度加入的,当加入了判别电路后,如果速度不是由低到高则计价器拒绝接受。在竞争冒险消除毛刺的主要的方法:(1)调整路径延时,毛刺归根到底是由于延时不同而引起的,因此只要让门电路的所有输入信号具有相同的延时,毛刺就不会产生。所以消除毛刺最原始、最直接的方法就是调整延时。(2)引入选通信号

21、,由于竞争仅仅发生在输入信号变化转换的瞬间,在稳定状态是没有竞争的,所以在输入信号稳定后进行选通就可以彻底消除尖峰脉冲。本课题采用CPLD芯片为核心,用较少的硬件和适当的软件相互配合主要解决以下问题:(1)不同地区的计费方式存在差异;即使同一地区,不同车型的出租车,其计费方式也有差别;另一方面,出租车还面临几年一次的调价或调整计费方式等问题。因此,所设计的计费器不仅要能满足不同地区的要求,而且计费方式的调整也应当很方便。(2)由于个别地区对计费器有特殊要求,有时必须修改软件;另外,计费器还面临软件的升级;因而,所设计的计费器应能很方便地重新编程。(3)计费器必须要有防作弊功能,能有效防止司机作

22、弊;同时要防止计费器在营运过程中死机。第二章 EDA技术概述2.1 EDA技术简介EDA(Essential Electronic Design Automation,电子线路设计自动化)是以计算机为工作平台、以VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)为设计语言、以可编程器件如CPLD(Complex Programmable Logic Device,复杂可编程逻辑器件)/FPGA(Field Programmable Gate Array,现场可编程门阵列)为实验

23、载体、以ASIC(Application Specific Integrated Circuit,应用特定集成电路)/SOC(System On Chip,片上系统)芯片为目标器件、进行必要的元件建模和系统仿真的电子产品自动化设计过程。EDA是电子设计领域的一场革命,它源于计算机辅助设计、计算机辅助制造、计算机辅助测试和计算机辅助工程。利用EDA工具,电子设计师从概念、算法、协议开始设计电子系统。从电路设计、性能分析直到IC版图或PCB版图生成的全过程均可在计算机上自动完成。EDA代表了当今电子设计技术的最新发展方向,其基本特征是设计人员以计算机为工具,按照自顶向下的设计方法,对整个系统进行方

24、案设计和功能划分,由硬件描述语言完成系统行为级设计,利用先进的开发工具自动完成逻辑编译、化简、分割、综合、优化、布局布线、仿真及特定目标芯片的适配编译和编程下载,这被称为数字逻辑电路的高层次设计方法6。这种基于芯片设计方法具有设计灵活、修改快捷、调试方便、研制周期短、系统可靠性高等优点。2.2 EDA技术的主要特征EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。这样的

25、设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。(1)“自顶向下”的设计方法10年前,电子设计的基本思路还是选择标准集成电路“自底向上”的构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。高层次设计给我们提供了一种“自顶向下”(TopDown)的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真

26、和调试过程是在高层次上完成的,这一方面有利于早期发现结构设计上的错误,避免设计工作的浪费,同时也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。7需求分析体系结构设计层次化设计层次仿真逻辑综合优化电路门级仿真电路ASIC物理版图设计ICPCBFPGA图2.1 自顶至下的设计方法(2) ASIC设计现代电子产品的复杂度日益加深,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC(Application Specific Integrated Circuits)芯片进行设计。ASIC按照设计方法的不同可分为:全定制AS

27、IC,半定制ASIC,可编程ASIC(也称为可编程逻辑器件)。设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家掩膜制造完成。优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。缺点是:开发周期长,费用高,只适合大批量产品开发。半定制ASIC芯片的版图设计方法有所不同,分为门阵列设计法和标准单元设计法,这两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。可编程逻辑芯片与上述掩膜ASIC的不同之处在于:设计人员完成版图设计后,在实验室内就可以烧制出自己的芯片,无须IC厂家的参与,大大缩短了开发

28、周期。可编程逻辑器件自七十年代以来,经历了PAL、GAL、CPLD、FPGA几个发展阶段,其中CPLD/FPGA属高密度可编程逻辑器件,目前集成度已高达200万门/片,它将掩膜ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易的转由掩膜ASIC实现,因此开发风险也大为降低。上述ASIC芯片,尤其是CPLD/FPGA器件,已成为现代高层次电子设计方法的实现载体。(3) 硬件描述语言硬件描述语言(HDLHardware Description Language)是一种用于设计硬件电子系统的计

29、算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。例如一个32位的加法器,利用图形输入软件需要输入500至1000个门,而利用VHDL语言只需要书写一行A=B+C即可,而且VHDL语言可读性强,易于修改和发现错误。早期的硬件描述语言,如ABELHDL、AHDL,由不同的EDA厂商开发,互不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。为了克服以上不足,1985年美国国防部正式推出了VHDL(Very High Speed IC Hardware Description Language)语言,1987年IEE

30、E采纳VHDL为硬件描述语言标准(IEEE STD-1076)。VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流、行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。(4) VHDL的优点(1)VHDL的宽范围描述能力使它成为高层次设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而化较少的精力于物理实现。(2)VHDL可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且也便于设计结果的交流、保存和重用。(3)VHDL的设计不依

31、赖于特定的器件,方便了工艺的转换。(4)VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。(5) 系统框架结构EDA系统框架结构(Framework)是一套配置和使用EDA软件包的规范,目前主要的EDA系统都建立了框架结构,如Cadence公司的Design Framework,Mentor公司的Falcon Framework,而且这些框架结构都遵守国际CFI组织(CAD Framework Initiative)制定的统一技术标准。Framework能将来自不同EDA厂商的工具软件进行优化组合,集成在一个易于管理的统一的环境之下,而且还支持任务之间、设计师之间以及整个产品开发过

32、程中信息的传输与共享,是并行工程和TopDown设计方法的实现基础。2.3 EDA发展趋势电子设计技术的核心就是EDA技术,EDA是指以计算机为工作台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接

33、网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PC主板和小型ASIC领域,仅有小部分(约11%)的设计人员开发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的EDA技术。在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新

34、的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方向发展。外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连

35、接,多屏幕技术也有所发展。中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。 EDA工具市场有相当多的产品机会与市场商机。在产品的发展上,随着SOC的设计越来越多样化,困难度也日益增加,甚至超过50%的设计时间是用于IC设计的验证身上。为了加速设计者更容易达到实现产品的高合格率,可制造性设计工具(DFM)也将是EDA产业的明日之星。此外,模拟信号与混合信号的设计大量被市场应用,加上FPGA等设计的起飞,都可为EDA市场带来新的商机8。EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA

36、水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平还很有限,需迎头赶上。可编程逻辑器件自70年代以来经历了PAL,GALCPLD,FPGA几个发展阶段,其中CPLD/FPGA高密度可编程逻辑器件,目前集成度已高达200万门/片,它将各模块ASC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快速度上市,而当市场扩大时,他可以很容易的转换掩膜ASIC实现,因此开发风险也大为降低。第三章 硬件描述语言VHDL3.1 VHDL简介VHDL(Very High Speed Integrated Circuit Hard

37、ware Description Language)是一种用来描述数字逻辑系统的“编程语言”。它源于美国政府于1980年开始启动的超高速集成电路计划(Very High Speed Integrated Circuits,VHSIC)。在这一计划的执行过程中,专家们认识到需要有一种标准的语言来描述集成电路的结构和功能。由此,VHSIC的硬件描述语言(VHSICHDL)即VHDL便诞生了,并很快被美国电气和电子工程师协会(IEEE) 所承认 奚素霞.基于EDA技术的数字电路设计J.重庆科技学院学报(自然科学),2009,11(4):124-1513 张玉杰,马立云,张贺艳.基于ARM和FPGA的

38、LED显示屏控制系统的设计J.计算机测量与控制, 2009,17(12):1-2.4 翟殿堂,方敏,历光伟.基于EDA的多功能计时器设计J.信息技术,2008,17(11):39-45.5 张立,张光新,柴磊,周泽魁.FPGA在多功能计费器系统中的应用J.仪器仪表报,2005,26(28):25-27.6 潭会生,张昌凡.EDA技术及应用J.西安:电子科技大学出版社.2001,16(2) : 46-48.7 诗文 DigiTimes专稿;片上系统潮流下EDA市场发展趋势N.电子资讯时报, 2005-06-06(A02).8 朱正伟.EDA技术及应用M.北京:清华大学出版社,2005:1-179

39、 候伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计M.西安:西安电子科技大学出版社, 1999:5-7.10 张昌凡.可编程逻辑器件及VHDL设计技术M.广州:华南理工大学出版社,2001:55-58.11 谭章熹.VHDL在新一代EDA解决方案中的应用N.计算机世界,2001-1-15(B14).12 潘松,黄继业.EDA技术实用教程M.北京:科学出版社,2002:56-79.13 潘松,王国栋.VHDL实用教程M.成都:电子科技学出版社,2000:52-69.14 辛春艳.VHDL硬件描述语言M.国防工业出版社,2002:25-68.15 林明权.VHDL数字控制系统设计范例.电子工业

40、出版社,2003:224-241.。近年来随着电子技术的发展和缩短电子系统设计周期的要求。EDA技术得到了迅猛的发展,使用硬件描述语言设计可编程逻辑器件(PLD)/现场可编程门阵列(FPGA)越来越被广泛采用。VHDL和VerilogHDL作为IEEE的工业标准硬件描述语言又得到众多EDA公司的支持。3.2 VHDL语言的特点(1)设计方法灵活VHDL支持自顶至下的和基于库的设计方法,而且支持同步电路、异步电路、现场可编程门阵列器件FPGA(field programmable gate array)以及其他随机电路的设计。VHDL具有比其他硬件描述语言更强的行为描述能力,基于抽象的行为描述风

41、格避开了具体的器件结构,使设计人员能从逻辑行为上描述和设计大规模电子系统。目前流行的EDA工具和VHDL综合器大都能实现行为描述到RTL(Register Transfer Level)描述的转换。(2)系统描述能力强VHDL语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和已有设计再利用的功能,它支持系统的数学模型直到门级电路的描述,并且高层次的行为描述与低层次的门级电路描述、结构描述可以混合使用。这些特点符合IC设计的市场要求。VHDL支持系统级描述,这是它优于其他VHDL的最重要的特点。例如,Verilog语言是一种门级电路描述语言,其风格接近于电路原理图,设计者需要搞清楚具

42、体的电路结构的细节,因此工作量通常较大。VHDL语言却最适合于描述电路的行为,即描述电路的功能,然后由综合器来生成符合要求的电路网络。设计者在熟悉基本单元电路的描述风格,积累一定的设计经验后,就会为用VHDL设计同等性能电路的高效率所鼓舞。(3)与工艺无关VHDL的硬件描述与具体的工艺技术和硬件结构无关,当门级或门级以上的描述通过仿真检验后,再利用相应的工具将设计映射成不同的工艺,因此电路的设计与工艺的改变是相互独立的。彼此的改变不会产生不良影响,并且VHDL硬件描述语言的实现目标器件的选择范围广泛,可使用各系列的CPLD、FPGA及各种门阵列器件。9(4)易于共享VHDL具有类属描述语句和子

43、程序调用等功能,对于己完成的设计源程序,可以通过修改类属参数表和函数的办法来改变设计的规模和结构。VHDL具有丰富的仿真语句和库函数,使得门电路级的功能仿真、检查成为可能,使设计者对整个工程设计的结构和功能的可行性做出决策。VHDL作为一种IEEE的工业标准,使VHDL的设计成果便于重复利用和交流。这就更进一步推动了VHDL语言的推广及完善。另外,由于其语法严格,给阅读和使用带来极大的便利。3.3 VHDL设计方法使用VHDL设计系统方法是自顶向下的系统设计方法,在设计过程中,首先是从整体上对系统功能进行细致的划分,达到比较合理的功能模块;设计出各个功能模块;各功能模块调试完成后,再将所有模块

44、的电路连接起来进行系统的统一调试,最终完成电路系统功能的设计。其设计流程如图3.1:时序与功能仿真器VHDL文本编辑VHDL仿真器FPGA/CPLD适配器FPGA/CPLD编程下载器FPGA/CPLD器件和电路系统图3.1 VHDL设计流程图 随着EDA技术的发展,使用硬件语言设计FPGA成为一种趋势。借用Max+plus II或QuartusII等软件用VHDL语言开发FPGA的完整流程为:(1)设计输入设计输入包括使用硬件描述语言HDL、状态图与原理图输入三种方式。HDL设计方式是现今设计大规模数字集成电路的良好形式,除IEEE标准中VHDL与Verilog HDL两种形式外,尚有各自FP

45、GA厂家推出的专用语言,如Quartus下的AHDL。HDL语言描述在状态机、控制逻辑、总线功能方面较强,使其描述的电路能特定综合器(如Synopsys公司的FPGA Compiler II或FPGA Express)作用下以具体硬件单元较好地实现;而原理图输入在顶层设计、数据通路逻辑、手工最优化电路等方面具有图形化强、单元节俭、功能明确等特点,另外,在Altera公司Quartus软件环境下,可以使用Momory Editor对内部memory进行直接编辑置入数据。常用方式是以HDL语言为主,原理图为辅,进行混合设计以发挥二者各自特色。通常,FPGA厂商软件与第三方软件设有接口,可以把第三方

46、设计文件导入进行处理。如Quartus与Foundation都可以把EDIF网表作为输入网表而直接进行布局布线,布局布线后,可再将生成的相应文件交给第三方进行后续处理。(2)设计综合综合,就是针对给定的电路实现功能和实现此电路的约束条件,如速度、功耗、成本及电路类型等,通过计算机进行优化处理,获得一个能满足上述要求的电路设计方案。也就是是说,被综合的文件是HDL文件(或相应文件等),综合的依据是逻辑设计的描述和各种约束条件,综合的结果则是一个硬件电路的实现方案,该方案必须同时满足预期的功能和约束条件。对于综合来说,满足要求的方案可能有多个,综合器将产生一个最优的或接近最优的结果。因此,综合的过

47、程也就是设计目标的优化过程,最后获得的结构与综合器的工作性能有关。FPGA Compiler II是一个完善的FPGA逻辑分析、综合和优化工具,它从HDL形式未优化的网表中产生优化的网表文件,包括分析、综合和优化三个步骤。其中,分析是采用Synopsys标准的HDL语法规则对HDL源文件进行分析并纠正语法错误;综合是以选定的FPGA结构和器件为目标,对HDL和FPGA网表文件进行逻辑综合;而优化则是根据用户的设计约束对速度和面积进行逻辑优化,产生一个优化的FPGA网表文件,以供FPGA布局和布线工具使用,即将电路优化于特定厂家器件库,独立于硅持性,但可以被约束条件所驱动。利用FPGA Comp

48、iler II进行设计综合时,应在当前Project下导入设计源文件,自动进行语法分析,在语法无误并确定综合方式、目标器件、综合强度、多层保持选择、优化目标等设置后,即可进行综合与优化。在此可以将两步独立进行,在两步之间进行约束指定,如时钟的确定、通路与端口的延时、模块的算子共享、寄存器的扇出等。如果设计模型较大,可以采用层次化方式进行综合,先综合下级模块,后综合上级模块。在进行上级模块综合埋设置下级模块为Dont Touch,使设计与综合过程合理化。综合后形成的网表可以以EDIF格式输出,也可以以VHDL或Verilog HDL格式输出,将其导入FPGA设计厂商提供的可支持第三方设计输入的专

49、用软件中,就可进行后续的FPGA芯片的实现。综合完成后可以输出报告文件,列出综合状态与综合结果,如资源使用情况、综合后层次信息等。(3)仿真验证从广义上讲,设计验证包括功能与时序仿真和电路验证。仿真是指使用设计软件包对已实现的设计进行完整测试,模拟实际物理环境下的工作情况。前仿真是指仅对逻辑功能进行测试模拟,以了解其实现的功能否满足原设计的要求,仿真过程没有加入时序信息,不涉及具体器件的硬件特性,如延时特性;而在布局布线后,提取有关的器件延迟、连线延时等时序参数,并在此基础上进行的仿真称为后仿真,它是接近真实器件运行的仿真。 (4)设计实现实现可理解为利用实现工具把逻辑映射到目标器件结构的资源

50、中,决定逻辑的最佳布局,选择逻辑与输入输出功能连接的布线通道进行连线,并产生相应文件(如配置文件与相关报告)。通常可分为如下五个部分。转换:将多个设计文件进行转换并合并到一个设计库文件中。映射:将网表中逻辑门映射成物理元素,即把逻辑设计分割到构成可编程逻辑阵列内的可配置逻辑块与输入输出块及其它资源中的过程。 布局与布线:布局是指从映射取出定义的逻辑和输入输出块,并把它们分配到FPGA内部的物理位置,通常基于某种先进的算法,如最小分割、模拟退火和一般的受力方向张弛等来完成;布线是指利用自动布线软件使用布线资源选择路径试着完成所有的逻辑连接。因最新的设计实现工具是时序驱动的,即在器件的布局布线期间

51、对整个信号通道执行时序分析,因此可以使用约束条件操作布线软件,完成设计规定的性能要求。在布局布线过程中,可同时提取时序信息形成报靠。时序提取:产生一反标文件,供给后续的时序仿真使用。配置:产生FPGA配置时的需要的位流文件。在实现过程中可以进行选项设置。因其支持增量设计,可以使其重复多次布线,且每次布线利用上一次布线信息以使布线更优或达到设计目标。在实现过程中应设置默认配置的下载形式,以使后续位流下载正常。(5)时序分析在设计实现过程中,在映射后需要对一个设计的实际功能块的延时和估计的布线延时进行时序分析;而在布局布线后,也要对实际布局布线的功能块延时和实际布线延时进行静态时序分析。从某种程序

52、来讲,静态时序分析可以说是整个FPGA设计中最重要的步骤,它允许设计者详尽地分析所有关键路径并得出一个有次序的报告,而且报告中含有其它调试信息,比如每个网络节点的扇出或容性负载等。静态时序分析器可以用来检查设计的逻辑和时序,以便计算各通中性能,识别可靠的踪迹,检测建立和保持时间的配合,时序分析器不要求用户产生输入激励或测试矢量。虽然Xilinx与Altera在FPGA开发套件上拥有时序分析工具,但在拥有第三方专门时序分析工具的情况下,仅利用FPGA厂家设计工具进行布局布线,而使用第三方的专门时序分析工具进行时序分析,一般FPGA厂商在其设计环境下皆有与第三方时序分析工具的接口。Synopsys

53、公司的PrimeTime是一个很好的时序分析工具,利用它可以达到更好的效果。将综合后的网表文件保存为db格式,可在PrimeTime环境下打开。利用此软件查看关键路径或设计者感兴趣的通路的时序,并对其进行分析,再次对原来的设计进行时序结束,可以提高工作主频或减少关键路径的跹 时。与综合过程相似,静态时序分析也是一个重复的过程,它与布局布线步骤紧密相连,这个操作通常要进行多次直到时序约束得到很好的满足。在综合与时序仿真过程中交互使用PrimeTime进行时序分析,满足设计要求后即可进行FPGA芯片投片前的最终物理验证。(6)下载验证下载是在功能仿真与时序仿真正确的前提下,将综合后形成的位流下载到

54、具体的FPGA芯片中,也叫芯片配置。FPGA设计有两种配置形式:直接由计算机经过专用下载电缆进行配置;由外围配置芯片进行上电时自动配置。因FPGA具有掉电信息丢失的性质,因此可在验证初期使用电缆直接下载位流,如有必要再将烧录配置芯片中(如Xilinx的XC18V系列,Altera的EPC2系列)。使用电缆下载时有多种直载方式,如对Xilinx公司的FPGA下载可以使用JTAG Programmer、Hardware Programmer、PROM Programmer三种方式,而对Altera公司的FPGA可以选择JTAG方式或Passive Serial方式。因FPGA大多支持IEEE的JT

55、AG标准,所以使用芯片上的JTAG口是常用下载方式。将位流文件下载到FPGA器件内部后进行实际器件的物理测试即为电路验证,当得到正确的验证结果后就证明了设计的正确性。电路验证对FPGA投片生产具有较大意义。10第四章 出租车计费系统的设计4.1 出租车计费目标本文中出租车的计费工作原理一般分成3个阶段:(1)车起步开始计费。首先显示起步价(本次设计起步费为7.00元),车在行驶3km以内,只收起步价7.00元。(2)车行驶超过3km后,按每公里2.2元计费(在7.00元基础上每行驶1km车费加2.2元),车费依次累加。(3)行驶路程达到或超过9km后(车费达到20元),每公里加收50%的车费,

56、车费变成按每公里3.3元开始计费。车暂时停止(行驶中遇红灯或中途暂时停车)不计费,车费保持不变。若停止则车费清零,等待下一次计费的开始。4.2 基本设计思想(1)根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA,counterB,counterC,counterD,counterE。计数器A完成车费百位计数。计数器B完成车费十位和个位。计数器C完成车费角和分。计数器D完成计数到30(完成车费的起步价)。计数器E完成模拟实现车行驶100m的功能。(2)行驶过程中车费附加50%的功能:由比较器实现。(3)车费的显示:由动态扫描电路来完成。用专用模块来实现,完成数据的输入

57、即动态数据的显示。(4)通过分析可以设计出系统的顶层框图如图4.1所示:显示输出基本速率起/停开关车速摇杆车速控制模块里程动态显示器计费动态显示器 图4.1 系统的顶层框图4.3 设计方案比较方案一:采用数字电路控制。其原理方框图如图4.2所示。采用传感器件,输出脉冲信号,经过放大整形作为移位寄存器的脉冲,实现计价,但是考虑到这种电路过于简单,性能不够稳定,而且不能调节单价,也不能根据天气调节计费标准,电路不够实用,所以我们不予采用。电源电路及保护电路金额显示单价显示里程传感器移位寄存器电路图4.2 采用数字电路控制方案二:采用单片机控制。利用单片机丰富的IO端口,及其控制的灵活性,实现基本的里程计价功能和价格调节、时钟显示功能。其原理如图4.3所示。键盘控制89S51单片机总金额显 示单价显示串口显示驱动电路AT24C02掉电存储里程计算单元图4.3 采用单片机控制关于本设计,有着大量的采用单片机设计的方案。单片机是集成了CPU,ROM,RAM和I/O口的微型计算机。它有很强的接口性能,非常适合于工业控制,因此又叫微控制器(MCU)。它与通用处理器不同,它是以工业测控对象、环境、接口等特点出发,向

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!