第四章+组合逻辑电路PPT课件

上传人:深*** 文档编号:101508138 上传时间:2022-06-05 格式:PPTX 页数:111 大小:2.82MB
收藏 版权申诉 举报 下载
第四章+组合逻辑电路PPT课件_第1页
第1页 / 共111页
第四章+组合逻辑电路PPT课件_第2页
第2页 / 共111页
第四章+组合逻辑电路PPT课件_第3页
第3页 / 共111页
资源描述:

《第四章+组合逻辑电路PPT课件》由会员分享,可在线阅读,更多相关《第四章+组合逻辑电路PPT课件(111页珍藏版)》请在装配图网上搜索。

1、第四章第四章 组合逻辑电路组合逻辑电路内容提要 本章首先介绍组合电路的特点,然后阐述用小规模集成电路( SSI )实现组合电路的分析方法和设计方法;还介绍几种常用中规模集成电路( MSI )(如译码器、数据选择器、加法器等)以及由它们构成组合电路方法。第1页/共111页4.1 概述4.2 组合逻辑电路分析和设计方法4.3若干常用的组合逻辑电路4.4组合电路的竞争冒险第四章第四章 组合逻辑电路组合逻辑电路第2页/共111页逻辑逻辑电路电路组合逻辑电路组合逻辑电路时序逻辑电路时序逻辑电路现时的输出仅取现时的输出仅取决于现时的输入决于现时的输入除与现时输入有除与现时输入有关外还与原状态关外还与原状态

2、 有关有关 4.1 概述一、组合逻辑电路的特点一、组合逻辑电路的特点第3页/共111页1.由给定的逻辑图写出逻辑关系表达式。由给定的逻辑图写出逻辑关系表达式。分析步骤:分析步骤:2.用逻辑代数或卡诺图对逻辑表达式进用逻辑代数或卡诺图对逻辑表达式进行化简。行化简。3.列出输入输出真值表并得出结论。列出输入输出真值表并得出结论。电路电路 结构结构输入输出之间输入输出之间的逻辑关系的逻辑关系一、组合逻辑电路的分析方法一、组合逻辑电路的分析方法4.2 组合逻辑电路分析和设计方法组合逻辑电路分析和设计方法第4页/共111页Y【例例1】分析下图的逻辑功能。分析下图的逻辑功能。 EWB仿真)(AB)(AAB

3、 )(BAB )()(BABAABYBABAAB)()(BBAABA)()(BABA第5页/共111页真值表真值表相同为相同为“0”不同为不同为“1”异或门异或门BAY=1第6页/共111页解:由图可得解:由图可得ABABCBABABABASHH )()()(其真值表为其真值表为【例例2】分析下图的逻辑功能。分析下图的逻辑功能。 其逻辑功能为半加器其逻辑功能为半加器奇偶校验电路(器)奇偶校验电路(器)第7页/共111页【例例3】分析下图的逻辑功能。分析下图的逻辑功能。 )(ABAB)(BA)()(BAAB)()( BAABYBAABBAAB )()(第8页/共111页任务要求任务要求最简单的逻

4、辑电路最简单的逻辑电路1. 指定实际问题的逻辑含义指定实际问题的逻辑含义(逻辑抽象逻辑抽象),列出真值表。列出真值表。设计步骤:设计步骤:二、组合逻辑电路的设计方法二、组合逻辑电路的设计方法(2) 定义逻辑状态的含义。定义逻辑状态的含义。(3) 列出真值表。列出真值表。 (1) 确定输入变量和输出变量。确定输入变量和输出变量。第9页/共111页3. 根据器件类型化简。根据器件类型化简。4. 画出逻辑电路图。画出逻辑电路图。2. 写出逻辑表达式,以便于化简。写出逻辑表达式,以便于化简。第10页/共111页【例例1】设计三人表决电路(设计三人表决电路(A、B、C)。每)。每人一个按键,如果同意则按

5、下,不同意则不人一个按键,如果同意则按下,不同意则不按。结果用指示灯表示,多数同意时指示灯按。结果用指示灯表示,多数同意时指示灯亮,否则不亮。亮,否则不亮。1. 逻辑抽象。逻辑抽象。 三个按键三个按键A、B、C作为输入变量,按下时作为输入变量,按下时为为“1”,不按时为,不按时为“0”。输出量为。输出量为 Y,多数,多数赞成时是赞成时是“1”,否则是,否则是“0”。2.根据题意列出真值表根据题意列出真值表。第11页/共111页A B C Y 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 真值表真值表00001111m3m5m6m77653mmm

6、mY第12页/共111页用卡诺图化简用卡诺图化简ABC000111100100100111ABACBCCABCABY 3.画出卡诺图:画出卡诺图:第13页/共111页4.根据逻辑表达式画出逻辑图。根据逻辑表达式画出逻辑图。CABCABY 第14页/共111页)(CABCAB)()()(CABCABCABCABY 若用与非门实现若用与非门实现EWB仿真第15页/共111页【例例2】设计一个用设计一个用3个开关控制灯的逻个开关控制灯的逻辑电路,要求任一个开关都能控制等的辑电路,要求任一个开关都能控制等的由亮到灭或由灭到亮。由亮到灭或由灭到亮。解:用解:用A、B、C分别表示三个开关,用分别表示三个开

7、关,用“0”表示表示“关关”,用,用“1”表示表示“开开” 、Y 表示灯,用表示灯,用“0”表表示示“灭灭”,用,用“1”表示表示“亮亮” 。第16页/共111页A B C Y 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 01101001第17页/共111页用卡诺图化简用卡诺图化简ABC000111100101011010ABCCBACBACBAY第18页/共111页1.由给定的逻辑图写出逻辑关系表达式。由给定的逻辑图写出逻辑关系表达式。分析步骤:分析步骤:2.用逻辑代数或卡诺图对逻辑表达式进用逻辑代数或卡诺图对逻辑表达式进行化简。行化简。3

8、.列出输入输出真值表并得出结论。列出输入输出真值表并得出结论。第19页/共111页1. 指定实际问题的逻辑含义,列出真值表。指定实际问题的逻辑含义,列出真值表。3. 根据器件类型化简。根据器件类型化简。4. 画出逻辑电路图。画出逻辑电路图。设计步骤:设计步骤:2. 写出逻辑表达式,以便于化简。写出逻辑表达式,以便于化简。第20页/共111页作作 业业4 .14 .6 (注意约束条件,要求电路注意约束条件,要求电路 尽量简单)尽量简单)第21页/共111页1 1 0 11 0 0 1+举例:举例:A=1101, B=1001, 计算计算A+B011010011加法器加法器第22页/共111页加法

9、运算的基本规则加法运算的基本规则:(1)逢二进一。)逢二进一。(2)最低位是两个数最低位的相加,不需)最低位是两个数最低位的相加,不需考虑进位。考虑进位。(3)其余各位都是三个数相加,包括加数、)其余各位都是三个数相加,包括加数、被加数和低位来的进位。被加数和低位来的进位。(4)任何位相加都产生两个结果:本位和、)任何位相加都产生两个结果:本位和、向高位的进位。向高位的进位。第23页/共111页一、一、1位加法器位加法器A B SC 0 0 0 1 1 0 1 1 00010110真值表真值表A-被加数;被加数;B-加数;加数;S-本位和;本位和;C-进位。进位。半加器:相加过程中,仅考虑被加

10、数、加数。半加器:相加过程中,仅考虑被加数、加数。BABABASABCO 第24页/共111页全加器:全加器:A-被加数;被加数;B-加数;加数;CI-低位的进位;低位的进位;S-本位和;本位和;CO-向高位的进位。向高位的进位。 相加过程中,既考虑加数、被加数又相加过程中,既考虑加数、被加数又考虑低位的进位位。考虑低位的进位位。第25页/共111页A B CI S CO 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 全加器的真值表全加器的真值表第26页/共111页1 1 0 10

11、 0 1 1-举例:举例:A=1101, B=0011, 计算计算A-B00110010二、二、1位全减器位全减器第27页/共111页A B BI D BO 0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 全减器全减器的真值表的真值表A-被减数;被减数;B-减数;减数;BI -低位的借位低位的借位D-本位差;本位差;BO-向高位的向高位的借借位。位。第28页/共111页三、多位加法器的应用三、多位加法器的应用(1 1)加法运算;)加法运算;(2)实现码组变换。实现码组变换。bncn-

12、1sncn全加器全加器anbncn-1sncn全加器全加器anA2A1B2B1D2D1C第29页/共111页A3A0:一个四位二进制数的输入;一个四位二进制数的输入;B3B0:为另一个二进制数的输入;为另一个二进制数的输入;CI:最低位的进位;:最低位的进位;CO:最高位的进位;:最高位的进位;S3S0:各位相加后的和。:各位相加后的和。第30页/共111页【例例3】利用利用4位超前进位加法器位超前进位加法器74LS283器件组器件组成的电路如图所示,试分析电路所能完成的逻辑成的电路如图所示,试分析电路所能完成的逻辑功能。功能。第31页/共111页解:写出各输入端的逻辑式解:写出各输入端的逻辑

13、式0BBBB,: )2(28374,: ) 1 (28374012307017127237347057167273两片的DDADDADDADDALSDDADDADDADALS第32页/共111页则当则当Y70时,时,74LS283(1):A30, A2D6, A1=D5, A0D4,74LS283(2):A3D3, A2D2, A1=D1, A0D0, CI=0,做加法后和为,做加法后和为 Y7Y0=0D6D0第33页/共111页当当Y71时,时,74LS283(1):A31, A2D6 ,A1=D5 ,A0D4 ,74LS283(2):A3D3 , A2D2 ,A1=D1 ,A0D0 CI=

14、1,做加法后和为做加法后和为 Y7Y0=1D6 (D0 +1) 此电路是一个带符号位的二进制求补码电路,此电路是一个带符号位的二进制求补码电路,Y7为符号位,输入二进制数码为为符号位,输入二进制数码为D6D0.第34页/共111页译码器的逻辑功能是将每个输入的二进译码器的逻辑功能是将每个输入的二进制代码译成对应的输出高、低电平信号。制代码译成对应的输出高、低电平信号。译码器译码器二进制译码器二进制译码器二十进制译码器二十进制译码器显示译码器显示译码器译码器译码器第35页/共111页一、二进制译码器一、二进制译码器 二进制译码器二进制译码器有有n n个输入端个输入端( (即即n n位二进制码位二

15、进制码) ),2 2n n个输出线。个输出线。 常见的译码器常见的译码器有有2 24 4译码器、译码器、3 38 8译码器译码器和和4 41616译码器。译码器。3线8线译码器Y0A2A1A0Y1Y2Y3Y4Y5Y6Y7二进制代码二进制代码高低电平信号高低电平信号第36页/共111页地址输入端地址输入端片选输入端片选输入端输出端,低电平有效输出端,低电平有效第37页/共111页第38页/共111页3 38 8译码器译码器-74HC138附加附加控制控制端端输入端输入端输出端输出端低电平低电平有效有效)()()()()()(701271012100120mSAASAYmSAAASYmSAAASY

16、第39页/共111页)()(321SSSS)()(0123210120AAASSSAAASY)(321SSS1 1AY1 1AY0)( 0)( 1321SSS,:因此,在使用时应注意仿真仿真第40页/共111页11111110111111110111011111101011011111011101011110111001011101111110011011111010010111111100011111111000011111111XXX1X1111111XXXX0A0A1A2S1输 出输 入32SS01234567YYYYYYYY74HC138逻辑功能表逻辑功能表第41页/共111页二、译码

17、器的应用二、译码器的应用1. 地址译码地址译码在计算机与外部设备打交道时,常在计算机与外部设备打交道时,常用二进制译码器做地址译码,把地用二进制译码器做地址译码,把地址信号址信号A送到译码器的输入,译送到译码器的输入,译码器的输出码器的输出Y接相应的地址外设接相应的地址外设的使能端,则对应于地址信号的一的使能端,则对应于地址信号的一组代码、可选中且仅选中一个地址组代码、可选中且仅选中一个地址外设。外设。第42页/共111页例:利用译码器分时将采样数据送入计算机。例:利用译码器分时将采样数据送入计算机。0Y1Y2Y3Y0A1AS2-4线译线译码器码器ABCD三态门三态门三态门三态门三态门三态门三

18、态门三态门AEBECEDE总线总线第43页/共111页0Y1Y2Y3Y0A1AS2-4线译线译码器码器ABCD三态门三态门三态门三态门三态门三态门三态门三态门AEBECEDE总线总线000全为全为1工作原理工作原理:(以:(以A0A1=00为例)为例)脱离总线脱离总线数据数据第44页/共111页2. 级联扩展级联扩展D3=0(1)片工作,)片工作,(2)片不工作)片不工作D3=1(1)片不工作,)片不工作,(2)片工作)片工作iimZ701237001230)()(mDDDDZmDDDDZ 15012315801238)()(mDDDDZmDDDDZ 第45页/共111页2. 级联扩展级联扩展

19、(2)38译码器(1) S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y138译码器(2) S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y1Z0Z7Z8Z15D3D2D1D01第46页/共111页Y0Y7Y6Y1D3. 用作多路分配器用作多路分配器第47页/共111页)(0123210AAASSSY)(01210AAASY时:当032SS000, 110012012SYAAAAAA时,即显然:当00111012SYAAA时,17012111SYAAA时,第48页/共111页中规模集成电路是为了实现专门的中规模集成电路是为了实现专门的逻辑功能而设计,但是通过适当的连接,逻辑

20、功能而设计,但是通过适当的连接,可以实现一般的逻辑功能。可以实现一般的逻辑功能。用中规模集成电路设计逻辑电路,用中规模集成电路设计逻辑电路,可以减少连线、提高可靠性。可以减少连线、提高可靠性。4. 实现组合逻辑函数实现组合逻辑函数 任何一个逻辑函数都可以表示成最任何一个逻辑函数都可以表示成最小项和的形式,而小项和的形式,而3-8译码器的输出对译码器的输出对应于不同的最小项,因此,可用应于不同的最小项,因此,可用3-8译译码器方便的实现逻辑函数。码器方便的实现逻辑函数。第49页/共111页【例例 1】 试用试用38译码器实现函数:译码器实现函数: )3 , 2 , 1 , 0(),()7 , 4

21、 , 0(),(21mCBAFmCBAF7401)7 , 4 , 0(mmmmF )(740 mmm)(740mmm )3 , 2 , 1 , 0(2mF)(3210mmmm第50页/共111页1ABC74HC138 S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y1)(),(7401mmmCBAF)(),(32102mmmmCBAF第51页/共111页【例例2】设计一个用设计一个用3个开关控制灯的逻辑电个开关控制灯的逻辑电路,要求任一个开关都能控制灯的由亮到灭路,要求任一个开关都能控制灯的由亮到灭或由灭到亮。或由灭到亮。解:用解:用A、B、C分别表示三个开关,用分别表示三个开关,用

22、“0”表示表示“关关”,用,用“1”表示表示“开开” 、Y 表示灯,用表示灯,用“0”表表示示“灭灭”,用,用“1”表示表示“亮亮” 。第52页/共111页A B C Y 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 01101001最小项 m0m1m2m3m4m5m6m7第53页/共111页ABC174HC138 S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y17421mmmmY )(7410mmmmY&第54页/共111页【练习练习1】 试用试用38译码器和必要的门实现函数:译码器和必要的门实现函数: ABCCBCBAFCBAB

23、CACAF21【练习练习2】 试用试用38译码器和必要的门电路译码器和必要的门电路 实现实现1位二进制数的全减器位二进制数的全减器 A-被减数;被减数;B-减数;减数;BI -来自低位的借位来自低位的借位D-本位差;本位差;BO-向高位的向高位的借借位。位。第55页/共111页A B BI D BO 0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 全减器全减器的真值表的真值表第56页/共111页【练习练习】 试用试用38译码器实现函数:译码器实现函数: ACCBCAY1 1A B C

24、74HC138 S1 S2 S3A1A2A0Y0Y7Y6Y5Y4Y3Y2Y1CABABCCBACBACBAY 76520mmmmmY431431431YYYmmmMMM第57页/共111页n-2n 线译码器,包含了线译码器,包含了n变量所有的变量所有的最小项。加上必要的门电路,可以最小项。加上必要的门电路,可以组成任何形式的输入变量组成任何形式的输入变量小于或等小于或等于于n的组合逻辑函数。的组合逻辑函数。 步骤:步骤: 1、首先将逻辑函数表示成最小项和的形式。、首先将逻辑函数表示成最小项和的形式。2、将逻辑函数转换成、将逻辑函数转换成3-8译码器的输出译码器的输出 信号的形式。信号的形式。3

25、、画出电路图,注意译码器的片选端的连接。、画出电路图,注意译码器的片选端的连接。第58页/共111页小结小结基本要求:基本要求:1. 掌握组合电路的设计方法;掌握组合电路的设计方法;2. 了解译码器的工作原理;了解译码器的工作原理;3. 掌握译码器的掌握译码器的扩展扩展实现实现组合逻辑电路组合逻辑电路的的方法;方法;作作 业业P212 习题习题 【4.12】第59页/共111页三、显示译码器三、显示译码器二二- -十进十进制编码制编码显示译显示译码器码器显示显示器件器件在数字系统中,常常需要将运算结果用在数字系统中,常常需要将运算结果用人们习惯的十进制显示出来,这就要用到人们习惯的十进制显示出

26、来,这就要用到显示译码器显示译码器。显示器件显示器件LED显示器显示器LCD显示器显示器第60页/共111页显示器件显示器件:七段七段LED显示器显示器abcdfge共阳极共阳极LED共阴极共阴极LED第61页/共111页abcdefg暗0123456781091112131415abcdefgLED数码管数码管 第62页/共111页LED显示器具有亮度高、响应时显示器具有亮度高、响应时间短、使用寿命长、可靠性高等间短、使用寿命长、可靠性高等优点。优点。其缺点是工作电流较大。其缺点是工作电流较大。LCD(液晶)显示器最大优点是(液晶)显示器最大优点是低功耗,可在低电压下工作。低功耗,可在低电压

27、下工作。其缺点是亮度低、响应速度慢。其缺点是亮度低、响应速度慢。第63页/共111页BCD七七段显示译码器段显示译码器:二二-十进十进制编码制编码显示译显示译码器码器显示显示器件器件第64页/共111页BCD-七段显示译码器的真值表七段显示译码器的真值表abcdefg第65页/共111页A0A3 : BCD码输入端;码输入端;ag : 七段显示码输出端。七段显示码输出端。1147448A3abcdfgVccGNDA1A2A0RBIeLTBI / RBO 第66页/共111页1147448A3abcdfgVccGNDA1A2A0RBIeLTBI / RBO : 灯测试端,低电平有效。检查笔灯测试

28、端,低电平有效。检查笔 段是否正常发光。段是否正常发光。LT 第67页/共111页1147448A3abcdfgVccGNDA1A2A0RBIeLTBI / RBO : 灭灭0输入端,低电平有效。输入端,低电平有效。RBI 第68页/共111页1147448A3abcdfgVccGNDA1A2A0RBIeLTBI / RBO : 灭灯输入灭灯输入 / 灭灭0输出端,低电平有输出端,低电平有效。效。BI / RBO 第69页/共111页YaYbYcYdYeYfYgA0A1A2A3LTRBOBI/RBI7448abcdefgabcdefg71WKBS201AVccA3A2A1A017448与七段显

29、示器件的连接与七段显示器件的连接: 为提高显示亮度,为提高显示亮度,可接上拉电阻可接上拉电阻第70页/共111页0 0 1 0 6 . 8 0 0 0 0 0 0 0 . 6 5 0 2 0 0 3 6 . 8 8 81 0 6 . 80 . 6 5无效0消隐电路设计:(1) 显示结果直观醒目;(2) 降低功耗。第71页/共111页从一组数据中选择一路信号进行传输的电从一组数据中选择一路信号进行传输的电路,称为路,称为数据选择器数据选择器。A0A1D3D2D1D0W地址信号地址信号输入信号输入信号输出信号输出信号数据选择器数据选择器类似一个多类似一个多路开关。选路开关。选择哪一路信择哪一路信号

30、由相应的号由相应的一组控制信一组控制信号控制。号控制。数据选择器数据选择器第72页/共111页)(101301120111011011SAADAADAADAADY一、数据选择器的工作原理(、数据选择器的工作原理(74HC153)数数据据输输入入端端地址输入端地址输入端控制端控制端输出端输出端S 1A1A0Y11XX0000D10001D11010D12011D13第73页/共111页数据输入端数据输入端地址输入端地址输入端控制端控制端输出端输出端数据输入端数据输入端控制端控制端输出端输出端第74页/共111页 作数据选择,以实现多路信号分时传送;作数据选择,以实现多路信号分时传送; 在数据传输

31、时实现并在数据传输时实现并串转换;串转换; 产生序列信号(第产生序列信号(第6 6章);章); 级联扩展;级联扩展; 实现组合逻辑函数。实现组合逻辑函数。 二、数据选择器的应用二、数据选择器的应用A0A1D3D2D1D0W第75页/共111页1. 用用74HC153构成八选一数据选择器构成八选一数据选择器74HC153为双四选一数为双四选一数据选择器,需一片即可产据选择器,需一片即可产生八路输入信号;需三生八路输入信号;需三位地址线控制八路输入端;位地址线控制八路输入端;用最高位控制芯片的用最高位控制芯片的控控制端;制端;两个输出端相两个输出端相或或产生输出信号。产生输出信号。分析:分析:第7

32、6页/共111页0 =0D0 D3D0 D311. 用用74LS153构成八选一数据选择器构成八选一数据选择器第77页/共111页1. 用用74LS153构成八选一数据选择器构成八选一数据选择器1=0D4 D7D4 D707012601250120123012201210120012)()()()()()()()(DAAADAAADAAADAAADAAADAAADAAADAAAY如何用四片如何用四片74HC153实现十六实现十六选选一一数据选择器数据选择器?第78页/共111页2. 用数据选择器设计逻辑电路用数据选择器设计逻辑电路 类似三变量函数的表达式!类似三变量函数的表达式!输入 输出 A

33、1 A0 Y 1 0 0 0 0 D0 0 1 0 D1 1 0 0 D2 1 1 0 D3 四选一选择器功能表四选一选择器功能表 S:0时当S)() ()() (013012011010AADAADAADAADY第79页/共111页例例1:利用四选一选择器实现如下逻辑函数。利用四选一选择器实现如下逻辑函数。BCCABBCACBAF与四选一选择器输出的逻辑式比较与四选一选择器输出的逻辑式比较)() ()() (013012011010AADAADAADAADY可以令:可以令:0AB 1AC 10ADDAD 2变换变换)()()(CBCBABCABCAF1) (13D第80页/共111页BCF接

34、线图接线图D0D1D2D3A0A1YS 74HC153A“1”1, 1,103201ADDDADABAC第81页/共111页1101011 10ABC0001111001例例1:利用四选一选择器实现如下逻辑函数。利用四选一选择器实现如下逻辑函数。BCCABBCACBAF填卡诺图填卡诺图确定数据确定数据D画出电路画出电路)() ()() (013012011010AADAADAADAADYD0D1D3D21; ; 3210DADADAD第82页/共111页【例例2 2】 试用试用4 4选选1 1数据选择器实现三变量函数:数据选择器实现三变量函数: CABBCACBACBAF分析: 选择地址输入,

35、令A1A0=AB(可任意选择))(0) ()() (1) ( )() ( ) (ABABCBACBAABCBACBACBACCABBCACBACBAF与四选一选择器输出的逻辑式比较与四选一选择器输出的逻辑式比较)() ()() (013012011010AADAADAADAADY0, , 13210DCDCDD将F与Y对照可得 0; ; 1;)( ) (0)( ) (1) ()( ) ( )( 231001DADDDCABABCABCCBACBCBABCACBACBACABBCACBACBAF令第83页/共111页0, , 1,321001DCDCDDBAAA 74HC153YA0A1D1D0

36、D3D2SFABC1第84页/共111页【例例3】用数据选择器用数据选择器74HC153和必要的门电路和必要的门电路 实现全加器。实现全加器。A B CI S CO 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 第85页/共111页NoImage)7 , 4 , 2 , 1 ()()()()(mCIABCIBACIBACIBAS)7 , 6 , 5 , 3()()()()(mCIABCIABCIBACIBACO)()()()(CIBACIBACIBACIBAS)( 1)()()(0

37、CIBCIBACIBACIBCOBCISCOA1EWB仿真第86页/共111页用用n位输入的数据选择器,可以产生位输入的数据选择器,可以产生任何一种输入变量数不大于任何一种输入变量数不大于n+1的组的组合逻辑函数。合逻辑函数。设计时采用函数式对照法。地址端设计时采用函数式对照法。地址端作为输入端,数据输入端可以综合作为输入端,数据输入端可以综合为一个输入端。为一个输入端。第87页/共111页小结小结基本要求:基本要求:1. 了解数据选择器的工作原理;了解数据选择器的工作原理;2. 掌握数据选择器的掌握数据选择器的扩展扩展实现实现组合逻辑电组合逻辑电路路的方法;的方法;作作 业业P212 习题习

38、题 【4.16】【4.18】第88页/共111页编码器编码器用文字、符号或数码表示特定对象的过用文字、符号或数码表示特定对象的过程称为程称为编码编码。在数字电路中常用二进制。在数字电路中常用二进制代码表示高低电平信号。能实现编码操代码表示高低电平信号。能实现编码操作的电路就是作的电路就是编码器编码器。编码器编码器普通编码器普通编码器优先编码器优先编码器第89页/共111页一、三位二进制编码器一、三位二进制编码器- 八线八线 - 三线编码器三线编码器设八个输入端为设八个输入端为I0 I7,八种状态,与,八种状态,与之对应的输出设为之对应的输出设为Y0、Y1、Y2,共三位二进制,共三位二进制数(数

39、(设计编码器的过设计编码器的过程与设计一般的组合程与设计一般的组合逻辑电路相同逻辑电路相同)。8线3线编码器I0Y2Y1Y0I1I2I3I4I5I6I7二进制代码二进制代码高低电平信号高低电平信号第90页/共111页I0 I1 I2 I3 I4 I5 I6 I7 Y2 Y1 Y0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 1 0 0 1 0 1 0 0 0 0 0 0 1 0 1 1 0 0 0 0

40、 0 0 0 0 1 1 1 1 真值表真值表76542IIIIY 76321IIIIY 75310IIIIY 第91页/共111页8-3编码器逻辑图编码器逻辑图仿真仿真第92页/共111页优先编码器允许多个输入信号同时有效,优先编码器允许多个输入信号同时有效,但它只按其中优先级别最高的有效输入但它只按其中优先级别最高的有效输入信号编码,对级别较低的输入信号不予信号编码,对级别较低的输入信号不予理睬。如:理睬。如:74HC148即为即为8线线-3线优先编线优先编码器。码器。二、优先编码器二、优先编码器第93页/共111页74LS148的功能表 注意:注意:I I7 7 的优先级的优先级最高最高

41、第94页/共111页YS - - 选通输出端选通输出端, ,“电路工作,但无电路工作,但无编码输入编码输入”时输出低电平。时输出低电平。YEX- - - 扩展端扩展端, ,“电路工作,而且有电路工作,而且有编码输入编码输入”时输出低电平。时输出低电平。注意:输出信号注意:输出信号为反码输出为反码输出第95页/共111页74HC148SI7I6I5I4I3I2I1I0YSY2Y1Y0YEX状态信号状态信号输入端输入端代码输出端代码输出端选通输入端选通输入端(低电平有效)(低电平有效)(低电平有效)(低电平有效)选通输出端选通输出端扩展端扩展端第96页/共111页【例例】试用试用74HC148接成

42、接成16线线4线优先编码器,线优先编码器,将将A 0 A 1516个低电平输入信号编为个低电平输入信号编为00001111 16个个4位二进制代码,其中位二进制代码,其中A 15的优先权最高,的优先权最高, A 0的优先权最低。的优先权最低。(1)(2)(1 1)几片?)几片?第97页/共111页不可能出现不可能出现0 00 0工作,且有输入工作,且有输入0 01 1工作,但无输入工作,但无输入1 10 0不工作不工作1 11 1状态状态SYEXY将第一片的将第一片的Y S接接到第二片的到第二片的S 上上(1)(2)A 15A 8A 7A 0(2 2)如何级联?)如何级联?第98页/共111页

43、(4) 输出四位二进制代码的低输出四位二进制代码的低三位可由两片输出端与非构成。三位可由两片输出端与非构成。(3)输出四位二进制数码的最高位?)输出四位二进制数码的最高位?不可能出现00工作,且有输入01工作,但无输入10不工作11状态SYEXY(1)(2)A 15A 8A 7A 0用第一片的扩展端用第一片的扩展端Y EX,加反相器,加反相器第99页/共111页1 1 1 0 00111111110001234567IIIIIIIISSY74HC148(1)EXY2Y1Y0Y&Z3Z2Z1Z015A14A13A12A11A10A9A8A7A6A5A4A3A2A1A0A01234567IIIII

44、IIISSY74HC148(2)EXY2Y1Y0Y1第100页/共111页1 1 1 1 1 1 1 1 1 1 0 011110010001101234567IIIIIIIISSY74HC148(1)EXY2Y1Y0Y&Z3Z2Z1Z015A14A13A12A11A10A9A8A7A6A5A4A3A2A1A0A01234567IIIIIIIISSY74HC148(2)EXY2Y1Y0Y思考:用思考:用74HC14874HC148组成组成3232线线-5-5线的优先编线的优先编码器?码器?第101页/共111页【例例】分析下面逻辑图的逻辑功能。分析下面逻辑图的逻辑功能。BAY2)()(0BAB

45、AABY1ABY 第102页/共111页;,1;,1;,1021BAYBAYBAY时时时1位数值比较器位数值比较器BAY2)(0BAY1ABY 第103页/共111页多位数值比较器多位数值比较器-74LS85 在比较两个多位数的大小时,必须自高而低在比较两个多位数的大小时,必须自高而低地诸位比较,而且只有在高位相等时,才需要比地诸位比较,而且只有在高位相等时,才需要比较低位。较低位。数据输入端数据输入端扩展端扩展端比较结果比较结果输出端输出端74LS85 第104页/共111页)(00112233)()(0011223300112233112233223333)()(0011223300112

46、233112233223333)()()()()()()()()()()()()()()()()()()()()()()()()(BABABABABABAIBABABABAYIBABABABABABABABABABABABABABAYIBABABABABABABABABABABABABABAY当比较两个当比较两个4位二进制数时,应使位二进制数时,应使I(AB)=0,I(A=B)=1。第105页/共111页74LS85(1)74LS85(2)第106页/共111页4.4 组合逻辑电路中的竞争与冒险组合逻辑电路中的竞争与冒险 由于竞争而使电路输出发生瞬时错误由于竞争而使电路输出发生瞬时错误的现象称

47、为的现象称为冒险冒险。 在组合电路中,门电路的两个输入信在组合电路中,门电路的两个输入信号同时向相反的逻辑电平跳变,这种现象号同时向相反的逻辑电平跳变,这种现象称为称为竞争竞争。第107页/共111页A AB BY Y图图2.2.4 或门逻辑符号或门逻辑符号1A AB BY YA AB BY Y图图2.2.2 与门逻辑符号与门逻辑符号A AB BY Y第108页/共111页检查竞争冒险的方法:检查竞争冒险的方法: 1、如果输出端的逻辑函数在一定条件下能化、如果输出端的逻辑函数在一定条件下能化简成简成AAY 或AAY则可判定存在竞争冒险。则可判定存在竞争冒险。 2 2、用实验的方法来检查输出端有因为竞争、用实验的方法来检查输出端有因为竞争冒险而产生的尖峰脉冲。冒险而产生的尖峰脉冲。第109页/共111页冒险现象的消除冒险现象的消除 当电路中存在冒险现象时,必须设法消当电路中存在冒险现象时,必须设法消除它,否则会导致错误结果。除它,否则会导致错误结果。常在输出端并联滤波电容常在输出端并联滤波电容C C,来消除其影响。,来消除其影响。(a)RCU0(b)U1U0UI第110页/共111页感谢您的观看!第111页/共111页

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!