软硬件划分-SystemC.ppt

上传人:za****8 文档编号:15496779 上传时间:2020-08-13 格式:PPT 页数:36 大小:243.50KB
收藏 版权申诉 举报 下载
软硬件划分-SystemC.ppt_第1页
第1页 / 共36页
软硬件划分-SystemC.ppt_第2页
第2页 / 共36页
软硬件划分-SystemC.ppt_第3页
第3页 / 共36页
资源描述:

《软硬件划分-SystemC.ppt》由会员分享,可在线阅读,更多相关《软硬件划分-SystemC.ppt(36页珍藏版)》请在装配图网上搜索。

1、SystemC,时正 吴昊,Part One,软硬件协同设计的引入,Problems with Past Design Method,缺少统一的软硬件表示方法 划分依靠先验定义 不能够验证整个系统 通过 HW/SW 边界时很难发现不兼容问题 上市时间问题 描述更改比较困难 Need Hardware-Software Co-Design,软硬件协同设计理论体系,系统任务描述 (System Task Description ) 软硬件划分 (Hardware/Software Partition) 软硬件协同综合 (Hardware/Software Co-synthesis) 软硬件协同仿真

2、 (HardwareSoftware Co-simulation) 与系统设计相关的低压低功耗设计,可测性设计等等。,Concurrent design(并行设计),Traditional design flow,Concurrent (codesign) flow,HW,SW,Start,Start,HW,SW,Designed by independent groups of experts,Designed by Same group of experts with cooperation,软件硬件协同设计的设计流程,用HDL语言和C语言进行系统描述并进行模拟仿真和系统功能验证; 对软硬

3、件实现进行功能划分,分别用语言进行设计,并将其综合起来进行功能验证和性能预测等仿真确认(协调模拟仿真); 如无问题则进行软件和硬件详细设计; 最后进行系统测试。,Hardware synthesis,抽象等级: 系统级设计 行为级综合: algorithmic synthesis RTL综合 逻辑级综合: netlist 值得考虑的问题: reuse of hardware (Core),什么是SystemC?,SystemC是一个开发硬件的面向对象的新型建模方法,建立在C+基础上,是为了方便系统级设计。 System C是一个开放的标准,由13家EDA和电子行业的公司共同控制。 包括: AR

4、M Ltd.Cadence Design Systems, Inc.CoWareFujitsuMentor GraphicsMotorolaNECSynopsys System C的源码可以从http:/www.systemc.org/网站上免费下载。,Part Two,SystemC是由一些C+的类库组成,SystemC Language Architecture,用System C开发的硬件模型可以用标准的C+编译器来编译: Unix/Linux/Solaris: gcc Windows: MSVC 经编译后形成一个可执行的应用程序,使用SystemC 设计流程,SystemC基本语法,模

5、块的定义 SC_MODULE(mmu) /Details of the design SC_MODULE是SystemC库中的一个宏,使用它定义一个模块实际上以sc_module为基类,定义了一个新的C+类。 class mmu :public sc_module /Details of the design ,数据类型,允许C+的基本数据类型,bool、int、short、char等; SystemC的专有数据类型sc_int、sc_bit、sc_logic等,时钟作为一个特殊的对象处理sc_clock; 用户自定义类型 struct packet char6 source_address;

6、 char destination_address; char data1514; ,模块的端口,模块的端口使数据能够在模块间通过,模块之间通过信号将端口连接起来。 SystemC的类库中预先定义的端口包括sc_in(输入端口),sc_out(输出端口),sc_inout(双向端口)。 SystemC允许通过对基本端口类型sc_port扩展生成更复杂的端口。,模块的信号,一个顶层模块可能有几个模块组成,这些模块需要信号相互连接。 SystemC用sc_signal来定义信号。,端口和信号的绑定,位置关联:所有的端口都是按照申明的顺序位置进行一一对应的。 sender sender1(SENDE

7、R1); sender1 pkt_in1 id1 clock1; 名字关联:利用名字的一一对应来连接信号和模块的端口。 sender sender1(SENDER1); sender0.pkt_out(pkt_in1); sender0.source_id(id1); sender0.CLK(clock1);,模块的构造函数,模块的构造函数完成创建和初始化一个模块的最初工作,它在一个模块被创建时执行。 SystemC模块的构造函数,除了完成C+所要求的基本功能外,还用于初始化进程的类型并创建进程的敏感表。 SystemC的构造函数用SC_CTOR标识,构造函数的名字必须与模块名字相同。,Sys

8、temC的基本进程,方法进程SC_METHOD: SC_METHOD(proc); sensitive; 当敏感表上有事件发生发生,它就会被调用,调用后立刻返回。 只有SC_METHOD进程返回后,仿真系统的时间才有可能前进。,线程进程SC_THREAD,SC_THREAD(proc); sensitive; 线程进程的内部使用一个无穷循环。能够被挂起和激活。 SC_THREAD类进程使用wait()挂起,当敏感表有事件发生,线程进程被激活运行,直到遇到新的wait()语句再重新挂起。,钟控线程进程SC_CTHREAD,钟控线程进程继承于线程进程,但只能在时钟的上升沿或者下降沿被触发或者激活。

9、 SC_CTHREAD(proc,clk.pos();,仿真与波形跟踪,SystemC设计的最顶层函数是sc_main(),在sc_main()将设计中的所有模块连接在一起,定义时钟,并引入波形跟踪。 int sc_main(int argc,char* argv) /Body,仿真与波形跟踪,SystemC使用了sc_start()函数,sc_strat()一旦被调用,仿真就开始了。 Sc_start()函数控制了所有时钟的产生,并在适当的时刻激活SystemC调度器。 SystemC调度器控制整个仿真过程的调度工作。,波形跟踪,SystemC波形跟踪在sc_main()函数中进行,可以生成

10、vcd、wif、isdb三种标准的波形文件; 生成vcd文件的函数是 sc_trace_file *trace_file = sc_create_vcd_trace_file(“filename); SystemC调度器到底要跟踪哪些信号,使用sc_trace(trace_file,object, “name); 仿真结束时要将波形跟踪文件关闭 sc_close_vcd_trace_file(trace_file);,接口、端口和通道,接口是一个C+抽象类。它只定义了一组抽象方法,但不定义这些方法的具体实现。 接口是可以分层的,复杂的接口可以有多个简单的接口继承得到。 类sc_interfac

11、e是所有接口类的基类。,通道,接口只是定义了一组通信方法,而不具体负责这些方法如何实现。 通道是一个或多个接口方法的实现者,通道也可以连接两个或多个模块。 SystemC允许用户自定义通道。 通道分为两种:基本通道和分层通道。,基本通道,SystemC定义了若干基本通道,他们不包含任何进程,也不能够直接或者间接的调用其它基本通道。 基本通道类型: sc_signal; sc_mutex; sc_fifo; sc_semaphore; sc_buffer,分层通道,分层通道是一个实现了一个或者多个接口的模块,可以包含进程,可以直接调用其它通道。 分层通道能够建模复杂的硬件模块。,端口,端口用于和

12、特定的通道接口相连,端口必须在模块中使用。除了基本端口类型,SystemC允许用户自定义端口类型。 一个端口可以同时连接到一个或者多个实现了同一接口的通道上。 端口的定义: sc_port,寄存器传输级SystemC设计,综合是指将RTL或者行为级的硬件描述语言的描述转换为满足约束条件的网表的过程。 综合技术中的逻辑综合,是在设计的寄存器传输级对系统进行描述,并且利用逻辑综合工具得到系统的门级实现。 SystemC描述可以使用的综合工具有synopsys公司的CoCentric SystemC Compiler。,RTL设计的注意事项,模块的功能和端口的设计在行为级仿真要确定,在RTL仿真阶段

13、对它们的修改,工作量会很大; SystemC的可综合语言子集和可综合数据类型。 需要考虑延时问题,System C #include SC_MODULE(dff_rst) sc_in_clk clk; sc_in rst; sc_indin; sc_outdout; void do_it(); SC_CTOR(dff_rst) SC_METHOD(do_it); sensitive_pos rst clk; ; void dff_rst:do_it() if(rst.read() dout.write(0); else dout .write(din.read(); ,Verilog HDL

14、Timescale 1ns/1ps Module dff_rst(clk,rst,din,dout); input clk,rst,din; output dout; reg dout; Always (posedge clk or posedge rst) begin if(rst) dout=1b0; else dout=din; end endmodule,System C与Verilog HDL的比较,SystemC的特点,高仿真速度和建模效率; 时序和行为可以分开建模; 支持从系统级到门级的无缝过渡; 支持系统级调试和系统性能分析,发展前景,SystemC与VHDL和Verilog比较 SystemC与使用C语言描述的比较,

展开阅读全文
温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!